序列发生器设计.pptx

上传人:莉*** 文档编号:80044855 上传时间:2023-03-22 格式:PPTX 页数:16 大小:150.16KB
返回 下载 相关 举报
序列发生器设计.pptx_第1页
第1页 / 共16页
序列发生器设计.pptx_第2页
第2页 / 共16页
点击查看更多>>
资源描述

《序列发生器设计.pptx》由会员分享,可在线阅读,更多相关《序列发生器设计.pptx(16页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。

1、1序列发生器数字系统中,常需要串行周期性信号;序列信号:按照特定顺序排列的串行数字信号;序列信号发生器:生成某个特定规则下的序列信号的电路。第1页/共16页2序列发生器的应用序列发生器的应用广泛:例M序列加密系统XEYEYX第2页/共16页3序列发生器的设计序列信号发生器的设计方法:根据给定的序列信号设计序列信号生成电路;根据序列长度M,选择长度为M的序列信号;序列信号发生器的结构:移存型序列信号发生器;计数型信号发生器;第3页/共16页4移存型序列信号发生器以移位寄存器作为主要存储部件;将给定的长度为M的序列信号,按移存规律,组成M个状态组合,完成状态转移;求出移位寄存器的串行输入激励函数,

2、即可构成该序列信号的产生电路。例:设计产生序列信号为11000的发生器第4页/共16页5移存型序列信号发生器序号Q3Q2Q101101100200030014011状态转移表状态转移表第5页/共16页6移存型序列信号发生器第一步:根据要求列真值表和状态图第二步:根据真值表画卡诺图,求次态方程;Q1n+1=not(Q3n.Q2n)第三步:检查系统能否自启动;第四步:确定触发器类型和数目;第五步:确定逻辑电路图;第6页/共16页7移存型序列信号发生器entity shiftxuilie isport(clk:in bit;d:in bit;set:in bit;reset:in bit;q:out

3、 bit;nq:out bit);end shiftxuilie;architecture behavioral of shiftxuilie iscomponent Dtriggerport(clk:in bit;d:in bit;set:in bit;reset:in bit;q:out bit;nq:out bit);end component;signal t0,t00,t01,t1,t10,t11,t2,t20,t21,t3,t4,t5:bit:=0;begin U1:Dtrigger port map(clk,t0,set,reset,t00,t01);U2:Dtrigger po

4、rt map(clk,t00,set,reset,t10,t11);U3:Dtrigger port map(clk,t10,set,reset,t20,t21);t0=t11 and t21;q=t20;nq=not t20;end behavioral;第7页/共16页8序列发生器的功能仿真波形的建立第8页/共16页9计数型序列信号发生器以同步计数器为基础;例:设计产生序列信号为1111000100的发生器;序列长度M=10,选用一个模10的同步计数器第9页/共16页10计数型序列信号发生器Q3Q2Q1Q0F00001000110010100111010000101001100011111

5、000010010F=(not(Q3)and not(Q2)or(Q1 and Q0)第10页/共16页11计数型序列信号发生器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity counterxuelie isport(clk:in std_logic;clr:in std_logic;f:out std_logic;q:out std_logic_vector(3 downto 0);end counterxuelie;arc

6、hitecture behavioral of counterxuelie issignal q0:std_logic_vector(3 downto 0);signal temp1,temp2,temp3:std_logic;beginprocess(clk,clr)beginif(clr=0)thenq0=0000;elsif(clkevent and clk=1)thenif(q0=1001)thenq0=0000;elseq0=q0+1;end if;end if;end process;q=q0;temp1=(not q0(3)and(not q0(2);temp2=q0(1)and

7、 q0(0);temp3=(not temp1)and(not temp2);f state=S1;current_state state=S2;current_state state=S3;current_statestate=S4;current_statestate=S5;current_statestate=S6;current_statestate=S0;current_state state=S1;end case;END IF;end process;end Behavioral;第14页/共16页15状态图利用QuartusII软件第15页/共16页16感谢您的观看。第16页/共16页

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 应用文书 > PPT文档

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号© 2020-2023 www.taowenge.com 淘文阁