《EDA技术模拟卷通信电子电子电气自动化_通信电子-电子电气自动化.pdf》由会员分享,可在线阅读,更多相关《EDA技术模拟卷通信电子电子电气自动化_通信电子-电子电气自动化.pdf(19页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。
1、 EDA 技术模拟试卷一 参考答案:一、填空题(17 空,每空 2 分,共 34 分)1、ASIC 直译为 _ 专用集成电路 _ 2、EDA 即 _ 电子设计自动化 _。3、CPLD 和 FPGA 统称为 _ 高密度可编程逻辑器件 _ 4、MAX7000 系列是 Altera 公司目前销量最大的产品,属于高性能/高密度的 _CPLD_ 5、FLEX10K 系列是 Altera 公司推出的主流产品,属于高密度 ,高速度的 _FPGA_ 6、可编程逻辑器件的设计过程可以分为四个步骤 _设计输入 _,_ 设计实现 _,_设计校验 _,_ 下载编程 _ 7、目前应用最广泛的 HDL(硬件描述语言 )有
2、_VHDL_,_Verilog-HDL_ 8、MAX+plus 提供了 CPLD/FPGA 的设计,仿真和烧写的环境 ,是目前使用极为广泛的 EDA 开发工具之一 .9、构成一个完整的 VHDL 语言程序的五个基本结构是实体,结构体,库,程序包,配置。二、选择题(21 空,每空 2 分,共 42 分)1、LIBRARY _A_;USE IEEE.STD_LOGIC_1164.ALL;A.IEEE B.STD C.WORK 2、ENTITY counter IS PORT(Clk:IN STD_LOGIC;Q:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0);END _B_
3、;A.counter23 B.counter C.work 3、ENTITY counter IS PORT(Clk:IN STD_LOGIC;Q:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0);.ARCHITECTURE a OF _B_ IS A.counter23 B.counter C.work 4、ARCHITECTURE a OF mux4 IS BEGIN END _A_;A.a B.b C.c 5、LIBRARY IEEE;USE IEEE._A_.ALL;A.STD_LOGIC_1164 B.IEEE_LOGIC_1164 C.WORK_LOGIC_1
4、164 6、下列是一个四选一的数据选择器的实体,S,A,B,C,D 是输入端,Y 是输出端 ENTITY multi_4v IS PORT(S:_A_ STD_LOGIC_VECTOR(1 DOWNTO 0);A,B,C,D:_A_ STD_LOGIC;Y:_B_ STD_LOGIC );END multi_4v;A.IN B.OUT 7、下面是一个计数器的实体,C.BUFFER clk 是输入端,q 是输出端 ENTITY countclr IS PORT(clk:_A_ STD_LOGIC;q:_C_ STD_LOGIC_VECTOR(7 DOWNTO 0);END countclr;AR
5、CHITECTURE one OF countclr IS BEGIN A.IN B.OUT 8 ARCHITECTURE one _B_ C.BUFFER OF multi_4v IS .END one;A.IN B.BEGIN C.END 9 PROCESS(clk)VARIABLE qtmp:STD_LOGIC_VECTOR(7 DOWNTO 0);_C_ IF clkevent AND clk=1 THEN .END PROCESS;A.IN B.END C.BEGIN 10 CASE D IS WHEN 0_A_ S_C_0000001;-0 A.=B.=C.=11 IF clr=0
6、 THEN qtmp:=00000000;ELSE qtmp:=qtmp+1;_B_;A.END PROCESS B.END IF C.BEGIN 12 IF j=0 AND k=0 THEN NULL;_C_ j=0 AND k=1 THEN qtmp B.=C.:=14 PROCESS(clk)_B_ qtmp:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGIN A.VARIABLE B.SIGNAL C.BEGIN 15 辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现
7、设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 dout(4 DOWNTO 1)=dout(3 DOWNTO 0);_C_=dout(4);A.dout(1)B.dout(3)C.dout(
8、0)16、进程(process)语句是 _B_ A.顺序语句 B.并行语句 C.其它 17、IF 语句是 A A.顺序语句 B.并行语句 C.其它 三、画出下列程序的原理图,并说明其功能。(每小题 8 分,共 16 分)1、下面是四选一数据选择器的程序 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY multi_4v IS PORT(S A,B,C,D :IN :IN STD_LOGIC_VECTOR(1 DOWNTO 0);STD_LOGIC;Y:OUT STD_LOGIC );END multi_4v;ARCHITECTURE a OF mu
9、lti_4v IS BEGIN PROCESS BEGIN IF(S=00)THEN Y=A;ELSIF(S=01)THEN Y=B;ELSIF(S=10)THEN Y=C;ELSIF(S=11)THEN Y=D;END IF;END PROCESS;END a;2、下面是同步清零可逆计数器的程序 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY countud IS PORT(clk:IN STD_LOGIC;clr:IN STD_LOGIC;dire:IN STD_LOGIC;q:
10、BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0);END countud;ARCHITECTURE a OF countud IS BEGIN PROCESS(clk)BEGIN 辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原
11、理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 IF clkevent AND clk=1 THEN IF clr=0 THEN q=00000000;ELSIF dire=1 THEN q=q+1;ELSE q S S S S S S S S S S S B.=C.=11、IF clr=0 THEN qtmp:=00000000;ELSE qtmp:=qtmp+1;_B_;A.END PROCESS B.END IF C.BEGIN
12、 12、IF j=0 AND k=0 THEN NULL;_C_ j=0 AND k=1 THEN qtmp B.=C.:=14、PROCESS(clk)_B_ qtmp:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGIN IF clkevent AND clk=1 THEN IF clr=0 THEN qtmp=00000000;A.VARIABLE B.SIGNAL C.BEGIN 15、下面是循环移位寄存器的部分程序 dout(4 DOWNTO 1)=dout(3 DOWNTO 0);_C_=dout(4);A.dout(1)B.dout(3)C.dout(0)16、元
13、件例化语句是 _B_ A.顺序语句 B.并行语句 C.其它 17、CASE 语句是 A A.顺序语句 B.并行语句 C.其它 三、画出下列程序的原理图,并说明其功能。(每小题 8 分,共 16 分)1、下面是 1 对 2 数据分配器的程序 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY demuti_2v IS 辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是
14、输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 PORT(D,S:IN STD_LOGIC;Y0,Y1:OUT STD_LOGIC);END demuti_2v;ARCHITECTURE a OF demuti_2v IS BEGIN PROCESS BEGIN IF S=0
15、THEN Y0=D;ELSE Y1=D;END IF;END PROCESS;END a;2、下面是同步清零可逆计数器的程序 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY countud IS PORT(clk:IN STD_LOGIC;clr:IN STD_LOGIC;dire:IN STD_LOGIC;q:BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0);END countud;ARCHITECTURE a OF countud IS BEGIN PROC
16、ESS(clk)BEGIN IF clkevent AND clk=1 THEN IF clr=0 THEN q=00000000;ELSIF dire=1 THEN q=q+1;ELSE q=q-1;END IF;END IF;END PROCESS;END a;四、试用 VHDL 语言编写八选一数据选择器程序(8 分)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY multi_8v IS PORT(S:IN STD_LOGIC_VECTOR(2 DOWNTO 0);A,B,C,D,E,F,G,H:IN STD_LOGIC;Y:OUT STD_
17、LOGIC 辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目
18、前销量最大的产品属 );END multi_8v;ARCHITECTURE a OF multi_8v IS BEGIN PROCESS BEGIN IF(S=000)THEN Y=A;ELSIF(S=01)THEN Y=B;ELSIF(S=010)THEN Y=C;ELSIF(S=011)THEN Y=D;ELSIF(S=100)THEN Y=E;ELSIF(S=101)THEN Y=F;ELSIF(S=110)THEN Y=G;ELSIF(S=111)THEN Y=H;END IF;END PROCESS;END a;EDA 技术模拟试卷三 一、选择题(16 分):1、在A IN VHDL
19、 的端口声明语句中,用(B OUT )声明端口为输出方向。C INOUT D BUFFER 2、在 VHDL 的 IEEE 标准库中,预定义的标准逻辑位数据 STD_LOGIC有()种逻辑值。A2 B3 C8 D9 3、嵌套使用 IF 语句,其综合结果可实现 _。A.带优先级且条件相与的逻辑电路;B.条件相或的逻辑电路;C.三态控制电路;D.双向控制电路。4、大规模可编程器件主要有 FPGA、CPLD 两类,下列对 FPGA 结构与工作原理的描述中,A FPGA 是基于乘积项结构的可编程逻辑器件;B FPGA 是全称为复杂可编程逻辑器件;C 基于 SRAM 的 FPGA 器件,在每次上电后必须
20、进行一次配置;D 在 Altera 公司生产的器件中,MAX7000S 系列属 FPGA 结构。正确的是 _。5、进程中的变量赋值语句,其变量更新是 A按顺序完成 B立即完成 C在进程的最后完成 D都不对 _。辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列
21、程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 6、在 VHDL 中,PROCESS结构是由(A并行 B顺序和并行 )语句组成的。C顺序 D任何 7、MAX+PLUSII 工具软件实现原理图设计输入,应采用(A图形编辑 B文本编辑 )方式。C符号编辑 D波形编辑 8、MAX+PLUSII 的设计文件不能直接保存在(A硬盘 B根目录 )。C文件夹 D工程目录 二、填空题(16 分):1、ASSERT 语句误级别有 _、_、_ 等
22、。2、VHDL 中有三种基本的数据对象,分别是 _、_、_。3、在 VHDL 语句中,“-”符号表示 _。4、VHDL 结构体的描述方式可分为 _、_、_ 等三种描述。5、在 VHDL 中,把“DATA”定义为信号,数据类型为整数型的语句是 _。6、在 VHDL 中,语句 CLK EVENT AND CLK=1表示 _。7、MAX+PLUSII 的设计输入通常有 _、_、_等三种方法。8、VHDL 程序文件的扩展名是 _。三、VHDL 程序填空:(10 分)-8 位分频器程序设计 D:IN _(7 DOWNTO 0);FOUT:OUT STD_LOGIC);END PULSE;ARCHITEC
23、TURE one OF _ IS SIGNAL FULL:STD_LOGIC;BEGIN P_REG:PROCESS(CLK)_ CNT8:STD_LOGIC_VECTOR(_ DOWNTO 0);BEGIN IF _ THEN IF CNT8=11111111 THEN CNT8 _;-当 CNT8 计数计满时,输入数据 D 被同步预置给计数器 FULL=1;-同时使溢出标志信号 FULL 输出为高电平 ELSE CNT8 _;-否则继续作加 1 计数 FULL=0;-且输出溢出标志信号 FULL 为低电平 CNT8 END IF;END IF;END PROCESS P_REG;辑器件系列
24、是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 P
25、_DIV:PROCESS(_)VARIABLE CNT2:STD_LOGIC;BEGIN IF FULLEVENT AND FULL=1 THEN CNT2 _;-如果溢出标志信号 FULL 为高电平,D 触发器输出取反 IF CNT2=1 THEN FOUT=1;ELSE FOUT=0;END IF;END IF;END PROCESS P_DIV;END one;四、用 VHDL 语言写出下面符号的实体(ENTITY)描述。(6 分)1、OR2 F_ ADD ER A COUT COUT C ER AIN S3BU3 BIN CO SU M CIN SO SU M 2、TRI_BUF8 E
26、N EN DO UT7.0 DO UT7.0 DIN7.0 DIN7.0 五、判断下面程序中是否有错误,若有错误请改正;(6 分)1、SIGNAL A,EN:STD_LOGIC;PROCESS(A,EN)VARIABLE B:STD_LOGIC;BEGIN IF EN=1 THEN B=A;END;END PROCESS;2、ARCHITECTURE ONE OF SAMPLE IS VARIABLE A,B,C:INTEGER;BEGIN C=A+B;辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入
27、设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 END;六、根据给出程序画出图形符号并分析其功能(16 分)1、LIBRARY IEEE;USE IEEE.STD_LOGIC_116
28、4.ALL;ENTITY MUX IS PORT(D0,D1,D2,D3,A0,A1:IN STD_LOGIC;Y:OUT STD_LOGIC);END MUX;ARCHITECTURE RTL OF MUX IS SIGNAL A:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGIN PROCESS(A0,A1)BEGIN AYYYY=D3;END CASE;END PROCESS;END RTL;2、LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY LX3_4 IS
29、 PORT(CLK:IN STD_LOGIC;J,K:IN STD_LOGIC;Q,QN:OUT STD_LOGIC);END LX3_4;ARCHITECTURE ONE OF LX3_4;SIGNAL Q_TEMP:STD_LOGIC:=0;SIGNAL JK:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGIN JKQ_TEMPQ_TEMPQ_TEMPQ_TEMP=NOT Q_TEMP;END CASE;END IF;Q=Q_TEMP;QN=NOT Q_TEMP;END PROCESS;END ONE;七、设计题:(30 分)1、试编写一个完整 VHDL 程序,实现下图所
30、示电路的功能;(14%)七、试用 VHDL 编写程序实现六十进计数器;(16%)要求:1、具有异步清零功能;2、计数器的个位和十位采用 BCD 码方式输出;EDA 技术模拟试卷三 参考答案 一、(每小题 2 分)1、可编程逻辑单元、可编程输入/输出单元和可编程连线 2、NOTE(注意)、WARING(警告)、ERROR(错误)、FAIRLURE(失败)3、常量、信号、变量 4、注释 5、行为描述、结构描述、寄存器描述 辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广
31、泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 6、VARIABLE DATA:INTEGER;7、信号 CLK 的下降沿 8、图形输入、文本输入、波形输入 9、(1)(3)、(2)10、VHD 二、(每小题 4 分)
32、1 ENTITY F_ADDER IS PORT(AIN,BIN,CIN:IN STD_LOGIC;COUT,SUM:OUT STD_LOGIC);END F_ADDER;2 ENTITY TR_BUF8 IS PORT(EN:IN STD_LOGIC;DIN:IN STD_LOGIC_VECTOR(7 DOWNTO 0);DOUT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);ENT TR_BUF8;三、1 改为:B:=A;END IF;(4 分)2 改为:SIGNAL A,B,C:INTEGER;END ONE;(4 分)四、l 功能为四选一数据选择器(4 分),画出图
33、形(4 分)2 功能为八位寄存器(4 分),画出图形(4 分)五、程序如下:(16 分)LIBRARY IEEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY TI5 IS PORT(A,B,C,D:IN STD_LOGIC;Y:OUT STD_LOGIC);END TI5;ARCHITECTURE ART OF TI5 IS BEGIN Y Y Y Y Y Y Y Y Y Y Y=1101111-9”;End case;End process;End a1;七、(16 分)LIBRARY ieee;use ieee.std_logic_1164.all;use ie
34、ee.std_logic_unsigned.all;ENTITY CNT60 IS PORT(clk,reset:IN STD_LOGIC;enhour:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0);END entity CNT60;ARCHITECTURE fun OF CNT60 IS SIGNAL count:STD_LOGIC_VECTOR(6 downto 0);BEGIN daout=count;process(clk,reset,sethour)begin if(reset=0)then count=0000000;el
35、sif(clk event and clk=1)then if(count(3 downto 0)=1001)then if(count 16#60#)then if(count=1011001)then enhour=1;count=0000000;ELSE count=count+7;end if;else count=0000000;end if;elsif(count 16#60#)then count=count+1;enhour=0 after 100 ns;else count=0000000;end if;辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流
36、产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 end if;end process;END fun;EDA 技
37、术模拟试卷四 一、填空题(20%):8、EDA 的中文含义是指 _。9、VHDL 的实体说明部分(ENTITY)主要功能是描述 _。10、构成 VHDL 程序语句可分为 _语句和 _语句。11、VHDL 中有三种基本的数据对象,分别是 _、_、_。12、在 VHDL 语句中,“-”符号表示 _。13、VHDL 结构体的描述方式可分为 _、_、_ 等三种描述。14、在 VHDL 中,把“DATA”定义为信号,数据类型为整数的语句 _。15、在 VHDL 中,语句 CLK EVENT AND CLK=1表示 _。16、MAX+PLUSII 的设计输入通常有 _、_、_ 等三种方法。10、VHDL
38、程序文件的扩展名是 _。二、画出与下列实体描述对应的元件符号;(8%)1、ENTITY BUF IS PORT(INPUT:IN STD_LOGIC;EN:IN STD_LOGIC;OUTPUT:OUT STD_LOGIC);END BUF;2、ENTITY MUX IS PORT(IN1,IN2,IN3,IN4:IN STD_LOGIC;SEL:IN STD_LOGIC_VECTOR(1 DOWNTO 0);DATA:OUT STD_LOGIC);EDN MUX;三、判断下面程序中是否有错误,若有错误请改正;(8%)1、SIGNAL A,EN:STD_LOGIC;PROCESS(A,EN)V
39、ARIABLE B:STD_LOGIC;BEGIN IF EN=1 THEN B=A;END;END PROCESS;9、RCHITECTURE ONE OF SAMPLE IS VARIABLE A,B,C:INTEGER;BEGIN C=A+B;END;四、根据给出程序画出图形符号并分析其功能(16%)1、LIBRARY IEEE;辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个
40、四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX IS PORT(D0,D1,D2,D3,A0,A1:IN STD_LOGIC;Y:OUT STD_LOGIC);END MUX;ARCHITECTURE RTL OF MUX
41、IS SIGNAL A:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGIN PROCESS(A0,A1)BEGIN AYYYY=D3;END CASE;END PROCESS;END RTL;2、LIBRARY IEEE;USE IEEE.STD_LIGOC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY EXA3 IS PORT(CIN:IN STD_LOGIC;A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0);S:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD
42、_LOGIC);END EXA3;ARCHITECTURE RTL OF EXA3 IS SIGNAL NUMBER:STD_LOGIC_VECTOR(4 DOWNTO0);BEGIN NUMBER=A+B+CIN;COUT=NUMBER(4);S=NUMBER(3 DOWNTO 0);END RTL;五、试编写一个完整 VHDL 程序,实现下图所示电路的功能;(16%)C A OUTP UT B 辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提
43、供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 六、试用 VHDL 编写程序实现七段显示译码器。(数码管为共阴极)(16%)a f b g e c d 七、试用 VHDL 编写程序实现二十四进计数器;(16%)EDA 技术模拟试卷四 参考
44、答案 一、(每小题 2 分)1、电子设计自动化;2、电路的外部接口 10、顺序语句、并行语句 11、常量、信号、变量 12、注释 13、行为描述、结构描述、寄存器描述;14、SIGNAL DATA:INTEGER 15、信号 CLK 的上升沿 9、文本输入、图形输入、波形输入 10、VHD 二、(每小题 4 分)1 BUFINP INPUT OUTPUT EN 2 MUX IN1 IN2 DATA IN3 IN4 SEL1.0 三、1 改为:B:=A;END IF;(4 分)2 改为:SIGNAL A,B,C:INTEGER;END ONE;(4 分)辑器件系列是公司目前销量最大的产品属于高性
45、能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属 四、l 功能为四选一数据选择器(4
46、 分),画出图形(4 分)MUX D0 D1 Y D2 D3 A1 A0 2 功能为八位寄存器(4 分),画出图形(4 分)EXA3 A3.0 COUT B3.0 S3.0 CIN 五、程序如下:(16 分)LIBRARY IEEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY TI5 IS PORT(A,B,C:IN STD_LOGIC;OUTPUT:OUT STD_LOGIC);END TI5;ARCHITECTURE ART OF TI5 IS BEGIN OUTPUT Y Y Y Y Y Y Y Y Y Y=1101111-9 ”;”;End case;End
47、 process;End a1;七、(16 分)LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY CNT24 IS PORT(clk,reset:IN STD_LOGIC;enhour:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0);END entity CNT24;ARCHITECTURE fun OF CNT24 IS SIGNAL count:STD_LOGIC_VECTOR(6 downto 0);BEGIN daout
48、=count;process(clk,reset,sethour)begin if(reset=0)then count=0000000;elsif(clk event and clk=1)then if(count(3 downto 0)=1001)then if(count 16#24#)then if(count=0100100)then enhour=1;count=0000000;ELSE count=count+7;end if;else count=0000000;end if;elsif(count 16#24#)then count=count+1;enhour=0 afte
49、r 100 ns;else count=0000000;end if;end if;end process;END fun;辑器件系列是公司目前销量最大的产品属于高性能高密度的系列是公司推出的主流产品属于高密度高速度的可编程逻辑器件的设计过程可以分为四个步骤设计输入设计实现设计校验下载编程目前应用最广泛的硬件描述语言有提供了置二选择题空每空分共分开发工具之一是输入端是输出端下列是一个四选一的数据选择器的实体下面是一个计数器的实体是输入端是输出端其它进程语句是顺序语句并行语句语句是顺序语句并行语句其它三画出下列程序的原理图并七段共阴极管译码器程序分技术模拟试卷二参考答案一填空题空每空分共分直译为专用集成电路即电子设计自动化设计输入有多种表达方式最常用的是原理图输入方式和硬件描述语言输入方式两种系列是公司目前销量最大的产品属