《2022年彩灯控制器课程方案设计书方案设计书报告.docx》由会员分享,可在线阅读,更多相关《2022年彩灯控制器课程方案设计书方案设计书报告.docx(17页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。
1、精选学习资料 - - - - - - - - - EDA 课程设计报告课题名称: 8 路彩灯掌握器课程设计专业年级:电子信息科学与技术 2022 级学号: * 同学姓名: * 指导老师: *报告完成日期 2022 年 6 月 10 日名师归纳总结 - - - - - - -第 1 页,共 9 页精选学习资料 - - - - - - - - - 第一章 绪论1.1 系统背景相伴着运算机、集成电路和电子设计技术的进展,EDA 技术在过去的几十年里取得了庞大的进步;EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和 EDA软件便可完成对系统硬件功能的实现;如今,EDA软件工具已经成
2、为电子信息类产品的支柱产业;从高性能的微处理器、数字信号处理器始终到彩电、音响和电子玩具电路等,EDA 技术不单是应用于前期的运算机模拟仿真、产品调试,而且也在 P哪的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用;可以说电子EDA 技术已经成为电子工业领域不行缺少的技术支持;相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的才能,支持自顶向下(Top to Down )和基于库(LibraryBased )的设计的特点;因此设计者可以不必明白硬件结构;从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用 VHDL对电路的行为进行描述,并进
3、行仿真和纠错,然后在系统一级进行验证,最终再用规律综合优化工具生成详细的门级规律电路的网表,下载到详细的 CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计;用5VHDL 类型众多而且支持用户自定义类型 种电路的设计;1.2 课程设计内容, 支持自顶而下的设计方法和多本次课程设计是设计一个8 路彩灯掌握器,能够掌握8 路彩灯根据两种节拍,三种花型循环变化;两种节拍分别为0.25s 和 0.5s ;三种花型分别是:(1)8 路彩灯分成两半,从左至右顺次慢慢点亮,全亮后就全灭;(2)从中间到两边对称地慢慢点亮,全亮后仍由中间向两边逐次熄灭;(3)8 路彩灯从左至右按次序依次点亮,全亮
4、后逆次序依次熄灭;设计完成后,在试验箱上进行仿真,与试验需要达到的要求进行对比,验证是否正确;名师归纳总结 - - - - - - -第 2 页,共 9 页精选学习资料 - - - - - - - - - 通过本次课程设计,需达到以下目的:1熟识 Max+plus 软件的使用方法 , 使自己能更加娴熟的操作 . 并能熟识使用原理图输入设计法和 VHDL 文本输入设计法进行设计 2增强自己实际动手才能 , 独立解决问题的才能 . , 完成设计任务 . 3通过对课程设计对以前所学的学问进行更新及巩固 . 其次章 系统电路设计2.1 系统总 体设计框架结构CLK 分 频 模二选一8 路彩灯输出模块的
5、三种花块型掌握模块分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替掌握花型的速度;2.2.1分频模块设计程序: libraryieee;use ieee.std_logic_1164.all;entity fenpin2 is port clk:in std_logic; clkk:out std_logicend fenpin2 ;architecture behav of fenpin2 is begin processclk variable clkk1:std_logic:=0; begin 名师归纳总结 if clkevent and clk=1 then cl
6、kk1:= not clkk1;第 3 页,共 9 页 end if;- - - - - - -精选学习资料 - - - - - - - - - clkk=clkk1; end process;end behav ;仿真波形 : 右上图可知,输出clkk 的频率只有 clk 的一半,所以二分频的目的达到,程序无误;用用二分频可组成四分频和其他分频;如:2.2.2二选一模块设计程序: library ieee;use ieee.std_logic_1164.all;entity mux21 is porta,b,s:in std_logic; y:out std_logic;end mux21;
7、architecture behave of mux21 is begin processa,b,s begin if s=0 then y=a;else y=b;end if;end process;end behave;仿真波形:名师归纳总结 - - - - - - -第 4 页,共 9 页精选学习资料 - - - - - - - - - 此模块常用,无问题;else sqqqqqqqqqqqqqqqqqqqqnull;end case;end if;end process;名师归纳总结 - - - - - - -第 5 页,共 9 页精选学习资料 - - - - - - - - - 由上
8、波形图可知,彩灯是根据三种花型变化的;此模块敏捷多变,可添加更多的花型;2.3 系统硬件电路第三章 试验结果和分析3.1 试验使用的仪器设备电脑一台, EDA 试验仪等;3.2 测试结果分析1.系统电路图画好后,先进性波形仿真,波形仿真图如下:彩灯状态图2.EDA 试验仪采纳的是 时,应选对芯片;ACEX1K系列中的 EP1K30TC44-3 芯片,所以在挑选芯片名师归纳总结 - - - - - - -第 6 页,共 9 页精选学习资料 - - - - - - - - - 3. 引脚设定( Assign Pin/Location/Chip)引脚Pin 引脚Pin clk 54 qq3 80 s
9、 98 qq4 81 rst 9 qq5 82 qq0 73 qq6 83 qq1 78 qq7 86 qq2 79 4. 储存,再次编译,留意是否有警告和错误;5. 无误后,连接试验箱与电脑;彩灯状态图序Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 说明号名师归纳总结 0 0 0 0 0 0 0 0 0 第一种花型:8 路第 7 页,共 9 页1 1 0 0 0 1 0 0 0 彩灯分成两半,从2 1 1 0 0 1 1 0 0 左至右顺次渐亮,3 1 1 1 0 1 1 1 0 全亮后就全灭;4 1 1 1 1 1 1 1 1 其次种花型:从中5 0 0 0 0 0 0 0 0 6 0
10、0 0 1 1 0 0 0 间到两边对称地渐7 0 0 1 1 1 1 0 0 亮,全亮后仍由中间 向 两 边 逐 次 渐8 0 1 1 1 1 1 1 0 灭;9 1 1 1 1 1 1 1 1 10 1 1 1 0 0 1 1 1 第三种花型:次序11 1 1 0 0 0 0 1 1 12 1 0 0 0 0 0 0 1 13 0 0 0 0 0 0 0 0 14 1 0 0 0 0 0 0 0 - - - - - - -精选学习资料 - - - - - - - - - 15 1 1 0 0 0 0 0 0 16 1 1 1 0 0 0 0 0 第三种花型:逆序17 1 1 1 1 0 0
11、 0 0 18 1 1 1 1 1 0 0 0 19 1 1 1 1 1 1 0 0 20 1 1 1 1 1 1 1 0 21 1 1 1 1 1 1 1 1 22 1 1 1 1 1 1 1 0 23 1 1 1 1 1 1 0 0 24 1 1 1 1 1 0 0 0 25 1 1 1 1 0 0 0 0 26 1 1 1 0 0 0 0 0 27 1 1 0 0 0 0 0 0 28 1 0 0 0 0 0 0 0 终止语 本次课程设计是根据先找系统原型,确定试验要求,然后综合出系统框 图,根据系统框图设计各个模块,最终综合起来进行的;这也是做综合设计的 基本方法;本次试验的彩灯掌握器
12、主要程序是设计其变化花型,比较敏捷,可 任意添加,特别有用;第一,通过本次课程设计,我熟识和把握了课程设计的基本方法和步骤,提高了综合试验才能;EDA技术的进一步深化懂得;熟识了 VHDL 其次,本次课程设计加深了我对 程序编写和原理图输入法的优缺点,为我以后更好地运用 MAX+Plus II 奠定了 良好的基础;第三,通过这次课程设计,使我受益颇多;明白到课程实习设计是开端,连接 是关键,测试是必需;既巩固了课堂上学到的理论学问,又把握了常用集成电名师归纳总结 - - - - - - -第 8 页,共 9 页精选学习资料 - - - - - - - - - 参考文献1 潘松 .EDA 技术有用教程(第三版).科学出版社, 2022-7. 2 谭会生 .EDA 技术与应用 .西安电子科技高校出版社名师归纳总结 - - - - - - -第 9 页,共 9 页