《哈工大数电课程设计设研究生考试专业课_研究生考试-专业课.pdf》由会员分享,可在线阅读,更多相关《哈工大数电课程设计设研究生考试专业课_研究生考试-专业课.pdf(17页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。
1、 课程毀计说明崙C论丈丿 课程名称:电子技术课程设升 设计題目:基于EEPROM的可编程波形发土器 院 系::电乞工程及其自动T匕 班 级:1206161 设 计:社旭 学 号:1120610831 指导敦师:康扇 设升时间:2014年12月4日 哈尔滨工业大学 哈尔滨工业大学课程设计任务书 姓名:张旭 院(系):电气工程及其自动化学院 专 业:电气工程 班 号:1206161 任务起至日期:2014 年 12 月 1 日至 2014 年 12 月 14 日 课程设计题I:基于EEPROM的可编程波形发生器 已知技术参数和设计要求:(1)波形发生器能够产生方波、三角波、锯齿波和正弦波4种波形;
2、(2)输出波形周期TTs;(3)4种波形可选择输出。工作量:(1)完成电路设计、器件选取、电路搭建、电路联调、实验测试等工作;(2)两周内完成电路验收,并提交课程设计报告纸质版、电子版各1份。形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要
3、完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯工作计划安排:2014年12月1日2014年12月2日完成电路各部分和拓展部分的设计与验证 2014年12月3日 调试硬件电路以及FPGA的编程 2014年12月4日调试、验收、答辩 同组设讣者及分工:张旭:硬件电路搭建,MATLAB 做波形曲线并参与完成 FPGA 部分 代问天:参与硬件电路搭建,参数汁算,理论分析以及主要完成 FPGA 程序及调试 张超:硬件电路搭建,各个参数计算,理论分析以及仿
4、真验证 指导教师签字 _ 教研室主任意见:年 月 日 形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师
5、填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯教研室主任签字 年 月 日 水注:此任务书由课程设计指导教师填写。形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导
6、教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯基于EEPROM的可编程波形发生器 摘要:在计算机控制、电子测量、通讯与电视等系统中,常常要用到各种模拟连续波形,如 锯齿波、三角波、正弦波等。本系统设计以555定时器构成多谐振荡器,产生脉冲;用FPGA构建256进制计数器 和ROM,ROH存有产生波形的数据用于产生方波、三角波、锯齿波和正弦波四种波形;输 出波形周期T=ls,输出波形的偏移量在-2.3V至+1.7V范围内可调输岀波形的峰峰值在 10V左右;四种波形可选择输出,分别输出锯齿波、三角波、方波和正弦波
7、。本系统使用BASYS2开发板,开发板上提供了按键和数码管,并且按键已经经过电容 消抖,并用FPGA构建一个4进制计数器。将上述两部分结合可以构成波形选择电路。LM324 运放电路采用电位器调节,可消除波形失真,并实现波形偏移量可调。关键词:EEPROM;FPGA;BASYS2;波形发生器 1选择原因 1.1 555时钟电路 555定时器是一种用途广泛的模拟数字混合集成电路。它可以构成单稳态触发器、多 谐振荡器、压控振荡器等多种应用电路。从555定时器的功能表可以看出儿个特点:1.有两个阈值电平,分别是电源电压的1/3和2/3:2.输出从低到高,从高到低有回差;3.输出端和放电端的状态一致,要
8、通都通,要断都断;4.输出与两触发端是反相关系。555定时器构成多谐振荡器 555定时器构成的多谐振荡器如图所示。它是将两个触发端合并在一起,放电端接于 两电阻之间。利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应 该在两个阈值之间按指数规律转换。充电回路是乩、&和C,此时相当输入是低电平,输 出是高电平。当电容器充电达到2Vcc/3时,即输入达到高电平时,电路的状态发生翻转,输岀形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参
9、数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯为低电平,电容器开始放电。当电容器放电达到Vcc/3时,电路的状态乂开始翻转,如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与 输出端一致,7脚为低电平电容器即放电。
10、1.2 D/A转换器件 自然界中存在的物理量大都是模拟量,如温度、时间、角度、速度等。随着数字技术 的迅速发展,尤其是计算机的广泛应用,用数字电路处理模拟信号的情况非常普遍。D/A 转换器是利用电阻网络和模拟开关,将二进制数D转换为与之成比例的模拟量。常用的解码网络有权电阻解码网络和倒T形电阻解码网络。权电阻解码网络 D/A转换器是山电阻解码网络、电子开关和运算放大器组成。Sn-PSO是n个电子开 关,受输入代码dn-PdO控制,当该位的值为“1”时,开关将电阻接至参考电压源VREF:当该位为“0”时,开关将电阻接地。D/A转换器是利用电阻网络和模拟开关,将二进制 数D转换为与之成比例的模拟量
11、。电阻解码网络的优点是所用的电阻数少,缺点是阻值 分散,集成电路制作困难。集成D/A转换器DAC0832 DAC0832的典型应用 其引脚功能为:形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论
12、分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯D。-ZX 为 8 位数据输入端 厶沁为 DAC 电流输出 1.为 DAC 电流输出 2-7?挣为反馈吐阻引岀端“I/尸为基准电压输入端,范围-10V至+10V:为电源输入端,电源电压范围+5 至 0 质为片选输入端,低电平有效 ME 为输入寄存器允许信号,髙电平有效.卩迟为写信号,低电平有熱 XFER为传输控制信号,低电平有效,直通工作方式:WR1、WR2、XFER及CS接低电平,ILE接高电平。即不用写信号控制,外输入数据直通内部8位D/A
13、转换器的数据输入端。单缓冲工作方式:WR2、XFER接低电平,使8位DAC寄存器处于直通状态,输入数据经8 位输入寄存器缓冲控制后直接进入D/A转换器。双缓冲工作方式:两个寄存器均处于受控状态,输入数据要经过两个寄存器缓冲控制后 才进入D/A转换器。这种工作方式可以用来实现多片D/A转换器的同步输出。转换精度:分辨率一当输入数字量的最低位(LSB)发生变化引起的输出电压的变化 量。常用输入数字量的位数表示分辨率 转换误差一转换器实际能达到的转换精度。转换误差用LSB的倍数表示。转换误差分静 态误差和动态误差。转换速度:建立时间tset 它是在输入数字量各位由全0变为全1,或由全1变为 全0,输
14、出电压达到某一规定值所需要的时间 转换速率SR 一即输入数字量的各位山全0变为全1,或山全1变为0时,输出电压UO 的变化率。这个参数与运算放大器的压摆率类似。1 3 BASYS2开发板 波形发生电路的主要部分选择lllFPGA构建,因为FPGA是一种新型的高密度PLD,采 用CMOS-SRAM工艺制作,其内部山许多独立的可编程逻辑模块组成,逻辑块之间可以灵 活的相互连接。因此使用FPGA可以很大程度的减少外部连线,加强电路的可黑性。本次设计所使用的BASYS2开发板一个电路设计实现平台,任何人都可以通过它来搭 建一个真正的数字电路。Basys2是围绕着一个Xilinx Spartan-3E
15、FPGA芯片和一个 Atmel AT90USB USB控制器搭建的,它提供了完整、随时可以使用的硬件平台,并且它 适合于从基本逻辑器件到复杂控制器件的各种主机电路。Basys2板上集成了大量的I/O形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答
16、辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯设备和FPGA所需的支持电路,让您能够构建无数的设计而不需要其他器件。开发板参数:Xilinx Spartan 3E FPGA,10 万或 25 万门 FPGA特性18位乘法器,72位高速双端口 Block RAM,以及500MHZ+运算能力 USB2.0高速接口提供FPGA配置和数据传输(相关Adept2.0软件可以免费下载)Xilinx Platform Flash ROM可以无限次存储F
17、PGA配置 用户可配置晶振(25,50,100MHz),另附可连接第二个时钟晶振的插座 三个内置稳压器(1.2V,2.5V,和3.3V),允许使用3.5V-5.5V的外部电源供电 8个用户可编程LED指示灯,4个七段数码管显示器,4个按键开关,8个滑动开关,1个PS/2接口和1个8位VGA显示接口 4个6针用户扩展接口,可以用来连接Digilent PMOD附属电路板 需要Adept2.0或更新版本软件来进行操作 Spartan 3E接线原理图:S.S.SH Spartan 3E FPGA C12 A13 C13 D12 G12 C11 M4 A7 34 F3 E2 Push buttons
18、Spartan 3E FPGA LD3 LEDs LD3 U”LD,.2 2 3 4 M5 M11 P7 P6 N5 N4 P4 P11 LD7 33v LD5 LD6 N3 Display 42412323 Shcr-circuit protec taon resistors B2 A3 J3 B5 A9 B9 A10 C9 形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交
19、课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯1.4系统总体框图 Spartan 3E 2.电路参数计算与FPGA程序 2.1多谐振荡器参数计算 多谐振荡器III 555定时器件构成,其原理图如下:形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化
20、学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯 355定时器产生的时钟信号周期T二0.7*(Ra+2Rb)C。根据AT28C16中烧制的程序可 知,该时
21、钟周期T应为输出波形周期的1/128,即*1/128(s)o选取电容C=200nF,电 阻Rb二20K,电阻Ra=15K+Rc(Rc为5K变阻器)。C5为去耦电容,选取C5二10nF。2.2输出运放电路参数计算 输岀运放电路采用TL084芯片,其原理图如下:山公式 ui,V”_-u0 -1-UQ=2U 12 参数选择合理,但是,当5=0时,u&=-12W波形发生底部失真。要想消除失真,需在&处串接变位器进行调节。2.3 FPGA 的 VHDL 程序 2.3.1顶层设计程序代码 library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC
22、_ARITH.ALL:形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器
23、摘要在计算机控制电子测量通讯use IEEE.STD_LOGIC_UNSIGNED.ALL:entity CandR is Port(rst:in stdjogic;key:in stdogic;clk:in stdogic;RomOut:out stdjogic_vector(7 downto 0);Duan:out std_logic_vector(3 downto 0);Wei:out std_logic_vector(6 downto 0);end CandR;architecture Behavioral of CandR is COMPONENT Twobai56 PORT(clk
24、2:IN stdjogic;clr2:IN stdogic;clk2out:OUT stdjogic_vector(7 downto 0);END COMPONENT;COMPONENT Choose PORT(Key:IN stdogic;Duan:OUT stdogic_vector(3 downto 0);Wei:OUT stdogic_vector(6 downto 0);Addr:OUT std_logic_vector(1 downto 0);END COMPONENT;COMPONENT BXrom PORT(a:IN STD丄OGIC_VECTOR(9 DOWNTO 0);sp
25、o:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END COMPONENT:signal haddr:stdogic_vcctor(1 downto 0);signal Iaddr:std_logic_vector(7 downto 0);begin Inst_Twobai56:Twobai56 PORT MAP(clk2=clk,clr2=rst,clk2out=laddr);Inst_Choose:Choose PORT MAP(Key=key,Duan=Duan.形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及
26、其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯Wei=Wei,Addr=haddr);Inst_rom:BXrom PORT MAP(a(7 d
27、ownto 0)=laddr.a(9 downto 8)=haddr,spo=Romout);end Behavioral;顶层设计实现的电路图:上图中 Inst_Twobai56 是 256 进制讣数器,Inst_Choose 是 4 进制讣数器及显示译码电路,Inst_rom 是由 FPGA 构建的 ROMo 2.3.2 256进制计数器程序代码 library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;use IEEE.STD_LOGIC_arith.ALL;entity Twobai56 is Po
28、rt(clk2:in stdogic;clr2:in std_logic;clk2out:out std_logic_vector(7 downto 0);end Twobai56;architecture EightJinzhi of Twobai56 is signal temp:std Jogic_vector(7 downto 0);begin process(clk2,clr2)begin if(clr2=r)then 形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计
29、题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯temp=H00000000M;else if(clk2,event and clk2=T)then if(temp二”11111110”)then temp=
30、n00000000M;else temp=temp+1;end if;end if;end if;end process;clk2out=temp(7 downto 0);end EightJinzhi;256进制讣数器的实现电路:2.3.3按键及显示程序代码 library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity Choose is Port(Key:in std_logic;Duan:Out stdogic_vector(3 downto 0);Wei:Out std_logic_vec
31、tor(6 downto 0);Addr:Out std Jogic_vector(1 downto 0);end Choose;architecture COS of Choose is signal Q:stdogic_vector(1 downto 0);begin Duan=H01ir,;process(key)形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计
32、报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯begin if(key*event and key V)then Q=Q+1;end if;end process;AddrWei Weiv二”1011110”;when TO”=WeiV=”0010010”;when 11H=Weiv二”0000110;when ot
33、hers=Wei=n0000000H;end case;end process;end COS;按键及显示程序的实现电路:lost Choose 形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论
34、分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯2.3.4 ROM 部分:本次设计中使用使用的ROM通过调用ISE软件中的CORE,直接生成一个ROM。事先通过MATLAB取号每一种波形所对应的数据,并且写入一个.coe文件中,在生成 ROM的时候直接导入即可。调用CORE生成ROM的过程如下:Options Depth 1024 Ronge:16.65536 Data width 8 Range:L.ioz Memory Type o ROM C Oud Port RAM Simple D
35、ual Port RAM 使用MTALAB生成每一种波形所需的数据的程序如下:以三角波为例:t二0:0.25/124:0 25 y=512*t+128 round(y)plot(t,y)t=0.25:0.5/249:0.75 y=-512*t+384 round(y)plot(t,y)t=0.75:0.25/124:1 y=512*t-384 round(y)t=0:1/499:1 y=512*t y=256*t round(y)3系统测试及结果分析 3.1测试使用的仪器(如表1所示)表1测试使用的仪器设备 序号 名称、型号、规格 数量 备注 1 FLUKE 17B万用表 1 美国福禄克公司
36、2 Agilent Technologies 示波器 1 带宽1GHz Single Port RAM SRL16-based Memory 形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析
37、以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯 锯齿波:方波:4.3出现的问题及解决方法 1.输出正弦波时出现各个点离散,不连续的现象,有的时候会出现一部分波形消失使 得波形整体缺失。经检查,原因有一下儿点:(1)将数据从MATLAB取点后写入TXT文档后,通过查找替换将数据与数据之间的空 格转换成“,”的时候有一部分数据被遗漏掉。使得ROM存入的数据不完整。(2)D/A转换器与FPGA输出口连接用的线接触不好,使得波形有的点不连续,甚至 出现下陷的现象。(3)D/A转换器所用的参考电压源不是
38、太稳定。解决方法:(1)检查数据,更改数据间的格式后从新写入。(2)替换D/A转换器与FPGA间的连线,使之接触良好。形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字
39、教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯5.心得体会(1)通过本次的数字电子课程设计,对我来说,最大的收获是在短时间里,学习并 初步掌握了 FPGA的使用,进一步熟悉了 Ver订og编程语言。同时,也进一步的加深了对 MATLAB操作的理解。(2)本次课设,让我熟练掌握了 555定时器,TL084,及其相关应用电路的设计与使 用。加深了对硬件电路调试方法的掌握。(3)此次的试验,一开始是通过在面包板上搭硬件电路的方式进行的,后来发现这 样会有三个问题,第一山于需要使用的线太多,导致系统的可幕性降低。其次,领导的
40、 器件中有一部分器件损坏。第三,使用面包板搭电路容易受到干扰。为了提高工作效率,同时提高系统的可靠性,因此使用FPGA进行设计。通过本次实验,感受到集成电路的便 利与可黑。(4)这一次的课设,让我认识到了团队合作的重要性,感谢团队中的每一个成员。最后真诚感谢认真指导我们实验的康磊老师!参考文献 1 胡振华VHDL 与 FPGA 设计M中国铁道出版社,2003.2 廉玉欣.电子技术基础实验教程M机械匸业出版出 2010.3 宁改娓金印彬刘涛.数字电子技术与接口技术实验教程M西安电子科技大学出版社,2013.4 杨春玲王淑娟.数字电子技术基础M高等教育出版社,2011.形发土器电乞工程及其自动匕社旭康扇年月日哈尔滨工业大学哈尔滨工业大学课程设计任务书姓名张旭院系电气工程及其自动化学院专业电气工程班号任务起至日期年月日至年月日课程设计题基于的可编程波形发生器已知技术参数路设计器件选取电路搭建电路联调实验测试等工作两周内完成电路验收并提交课程设计报告纸质版电子版各份工作计划安排年月日年月日完成电路各部分和拓展部分的设计与验证年月日调试硬件电路以及的编程年月日调试验收答辩主要完成程序及调试张超硬件电路搭建各个参数计算理论分析以及仿真验证指导教师签字教研室主任意见年月日教研室主任签字水注此任务书由课程设计指导教师填写年月日基于的可编程波形发生器摘要在计算机控制电子测量通讯