《EDA课程设计报告--病房呼叫系统的设计_高等教育-实验设计.pdf》由会员分享,可在线阅读,更多相关《EDA课程设计报告--病房呼叫系统的设计_高等教育-实验设计.pdf(18页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。
1、.word.zl.病房呼叫系统的设计 1 设计要求 1用 5 个开关模拟 5 个病房的呼叫输入信号,1 号优先级最高;1 到 5 优先级依次降低;2用一个数码管显示呼叫信号的;没信号呼叫时显示 0;又多个信号呼叫时,显示优先级最高的呼叫号其它呼叫号用指示灯显示;3凡有呼叫发出 5 秒的呼叫声;4对低优先级的呼叫进展存储,处理完高优先级的呼叫,再进展低优先级呼叫的处理附加。2 设计思路及方案 用 74148优先编码器来实现病房呼叫的优先显示,用 7447七段字形译码器接半导体数码管来用数字显示最高优先级的病房号,用计数器 74193来实现模五的计数器,调节 clock 输入脉冲的频率来实现五秒的
2、控制来实现闪烁五秒。再用 D 触发器来实现锁存和复位清零功能。3 设计过程 3.1 优先编码和数据清零模块 优先编码和数据清零模块的电路图如图 1 所示。.word.zl.图 1 优先编码和数据清零模块 该病房呼叫系统一共有 6 个输入,分别是 15 号病房的呼叫开关num1num5 和 1 个复位清零健 action初始工作时至“1,这六个开关配合D 触发器来实现清零复位。由于 74148低电平有效,所以输入 74148前先用非门取反,此电路用到的 74148管脚输入对应输出真值表如表 1 所示。表 1 74148输入输出真值表 输入 输出 X X X X 0 0 0 1 X X X 0 1
3、 0 1 0 X X 0 1 1 0 1 1 X 0 1 1 1 1 0 0 显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及
4、灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.0 1 1 1 1 1 0 1 3.2 模五计数器以及灯闪烁五秒的模拟 模五计数器电路图如图 2 所示。图 2 模五计数器电路图 如图先将 74193接成模五计数器,当有病房呼叫时 CLR 为 0,计数器计数,当没有病房呼叫时计数器清零,通过调节 clock 输入脉冲的频率来实现闪烁五秒的控制。3.3 显示病房号功能 显示病房号功能的电路图如图 3 所示。显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理
5、完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.图 3 显示病房号的电路图 说明:该模块的输入为 74148的输入,通过 7447七段字形译码器进译码,将结果输出到
6、半导体数码管进展显示。3.4 设计总图 设计总图如图 4 所示。图 4 设计总图 显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器
7、以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.说明:护士将 action 调节为 1,表示工作。当有病人呼叫时,数码管显示优先级高的病房号,所有的指示灯均亮。74193和脉冲输入 clock 来控制灯闪烁 5秒。该设计根本上实现了病房呼叫系统的根本要求。4 仿真结果 图 5 3号床位呼叫仿真图 3 号床位呼叫仿真图如图 5 所示。开场时,7447输出编码为 0000001,相应的半导体数码管显示为 0.当 3 号病房呼叫,闪烁灯开场闪烁 5 秒,out3 指示灯显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼
8、叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.亮,7447输出编码为:0000110,对应的显示
9、数字符号为 3.护士按下 action 使其为 0,实现复位。图 6 2、3、4 号床位同时呼叫仿真图 2,3,4 病房同时呼叫的仿真图如图 6 所示。2、3、4 同时呼叫时闪烁灯开场闪烁 5 秒,out2,out3,out4 指示灯亮,7447输出编码为:0010010,对应的数码显示为 2.显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能
10、设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.图 7 复位后新一轮的呼叫仿真图 复位后新一轮的呼叫仿真图如图 7 所示。护士复位后,开场新一轮的病房呼叫。图 8 优先级高的呼叫仿真图 显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完
11、高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.优先级高的呼叫仿真图如图 8 所示。优先级高的呼叫时,在还没处理低的优先级时,有高优先级呼叫,数码显示会变成高优先级病
12、房号的显示。设计总结 本设计是为在病人紧急需要时能很快进展救治的呼叫系统,增强医护人员更好的监护病人。此系统的优点特色在于可以设立呼叫优先等级而不是单纯的病人呼叫,这样防止在有多个病人同时呼叫时,医护人员不知道应该先救治哪个。在设计初期我不知道当有多个病人同时发出信号呼叫时,优先信号被优先编码器编码后,再经过译码显示后,医护人员处理完高级别信号,按下清零键;怎么样认其他病人的信号按照优先级别先后显示?当多信号同时呼叫时,对较低等级的呼叫信号不知道怎么处理,才能保证当高级别的信号被清零后,低级别的信号能及时的由系统自动呼出,而不再需要人为的控制输出的问题始终没有能找到适宜有效的方法。还有就是如何
13、实现在医护人员已处理完毕当前呼叫的病人后,系统对当前呼叫信号的清零以保证其他呼叫信号能够及时的呼出以显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表
14、输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.便医护人员能及时的对其他病人进展救治,从而防止因系统对病人呼叫信号的延迟导致病人不能及时承受护理而产生的严重后果。特别是控制清零这个问题苦恼了我很长的时间,后来才在我翻阅相关书籍和浏览相关时找到了解决问题的方法,才知道这些问题可以通过对锁存器的合理使用来一一解决。这也使我对学习的认识有了更深入的了解,对理论联系实际有了更加深刻的体会。参考文献 1 Mark Zwolinski.Digital System Design with VHDL.电子工业,2002 4 显示呼叫
15、信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.
16、word.zl.附录 设计程序 1:非门 library ieee;entity as_not is port(a:in std_logic;显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚
17、输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.f:out std_logic);end as_not;architecture behave of as_not is begin f=not a;end behave;2:2 输入与非门 library ieee;entity as_nand2 is port(a:in std_logic;b:in std_logic;f:out std_logic);end as_nand2;architecture behave of a
18、s_nand2 is begin f=a nand b;end behave;3:2 输入与门 显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入
19、输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.library ieee;entity as_and2 is port(a:in std_logic;b:in std_logic;f:out std_logic);end as_and2;architecture behave of as_and2 is begin f=a AND b;end behave;4:优先编码器 74148 library ieee;entity as_74148 is port(D:in std_logic_vector(7 downto 0)
20、;E1:in std_logic;Q:out std_logic_vector(2 downto 0);GS:out std_logic;显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入
21、对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.E0:out std_logic);end as_74148;architecture rtl of as_74148 is begin process(E1,D)begin if(e1=1)then Q=111;GS=1;E0=1;elsif(D=11111111 and E1=0)then Q=111;GS=1;E0=0;elsif(D(7)=0 and E1=0)then Q=000;GS=0;E0=1;elsif(D(6)=0
22、 and E1=0)then 显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计
23、数器电路图如图先将接成模五计数器当有.word.zl.Q=001;GS=0;E0=1;elsif(D(5)=0 and E1=0)then Q=010;GS=0;E0=1;elsif(D(4)=0 and E1=0)then Q=011;GS=0;E0=1;elsif(D(3)=0 and E1=0)then Q=100;GS=0;E0=1;elsif(D(2)=0 and E1=0)then Q=101;GS=0;E0=1;显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级
24、呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.elsif(D(1)=0 and E1=0)then Q=110;GS=0;E0=1;elsif(D(0)=0 and E1=0)then Q=1
25、11;GS=0;E0=1;else Q=111;GS=1;E0=0;end if;end process;end rtl;5:7447数码显示:library ieee;显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前
26、先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.entity as_7447 is port(num:in std_logic_vector(3 downto 0);dout:out std_logic_vector(6 downto 0);end as_7447;architecture a1 of as_7447 is begin with num select dout=1111110 when 0000,0110000 when 0001,1
27、101101 when 0010,1111001 when 0011,0110011 when 0100,1011011 when 0101,1011111 when 0110,1110000 when 0111,显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数
28、据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.1111111 when 1000,1111011 when 1001,0000000 when others;end a1;6:D 触发器 library ieee;entity as_d is port(D:in std_logic;CP:in std_logic;R:in std_logic;Q:out std_logic;QB:out std_logi
29、c);end as_d;architecture rtl of as_d is begin process(CP,R)begin if(CP event and cp=1)then 显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有
30、效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有.word.zl.if(R=0)then Q=0;QB=1;ELSE Q=D;QB=not D;end if;end if;end process;end rtl;显示呼叫信号的没信号呼叫时显示又多个信号呼叫时显示优先级最高的呼叫号其它呼叫号用指示灯显示凡有呼叫发出秒的呼叫声对低优先级的呼叫进展存储处理完高优先级的呼叫再进展低优先级呼叫的处理附加设计思路及方案用优器来实现模五的计数器调节输入脉冲的频率来实现五秒的控制来实现闪烁五秒再用触发器来实现锁存和复位清零功能设计过程优先编码和数据清零模块优先编码和数据清零模块的电路图如图所示图优先编码和数据清零模块该病房呼位由于低电平有效所以输入前先用非门取反此电路用到的管脚输入对应输出真值表如表所示表输入输出真值表输入输出模五计数器以及灯闪烁五秒的模拟模五计数器电路图如图所示图模五计数器电路图如图先将接成模五计数器当有