《毕设中期答辩模板学习教案.pptx》由会员分享,可在线阅读,更多相关《毕设中期答辩模板学习教案.pptx(17页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。
1、会计学 1毕设中期答辩模板第一页,编辑于星期日:十六点 四十一分。基于FPGA 的电梯控制系统设计n n 学 学 院 院(系 系):里仁学院电子工程系:里仁学院电子工程系n n 专 专 业 业 班 班 级:电子科学与技术 级:电子科学与技术08-1 08-1 班 班n n 学 学 号:号:081308061023 081308061023n n 姓 姓 名:王爽 名:王爽n n 指 指 导 导 老 老 师:常丹华老师 师:常丹华老师n n 2012 2012 年 年05 05 月 月14 14 日 日第1 页/共17 页第二页,编辑于星期日:十六点 四十一分。论文研究工作经过选题、开题到现在已
2、经完成了以下面的工作 论文研究工作经过选题、开题到现在已经完成了以下面的工作(1 1)通过查阅大量资料对选题有了更加深入理解和)通过查阅大量资料对选题有了更加深入理解和 更加清晰的思路 更加清晰的思路(2 2)对软件)对软件MAXPLUS2 MAXPLUS2 的熟悉操作 的熟悉操作(3 3)用)用VHDL VHDL 语言对电梯系统主控完成编译 语言对电梯系统主控完成编译(4 4)完成了对电梯系统主控的仿真)完成了对电梯系统主控的仿真 选题研究进展第2 页/共17 页第三页,编辑于星期日:十六点 四十一分。控制器的设计方案 控制器的设计方案 控制器的功能模块,包括主控制器、分控制器、楼层选择器、
3、状态显示器、译 控制器的功能模块,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处 码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过 理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器 译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对 进行处理,同时显示电梯的运行状态和电梯所在楼层
4、数。由于分控制器相对简单很多,所以主控制器是核心部分。简单很多,所以主控制器是核心部分。选题当前研究的成果第3 页/共17 页第四页,编辑于星期日:十六点 四十一分。选题当前研究的成果第4 页/共17 页第五页,编辑于星期日:十六点 四十一分。n n 四层电梯控制器的实体设计 四层电梯控制器的实体设计 n n 首先考虑输入端口,一个异步复位端口 首先考虑输入端口,一个异步复位端口reset reset,用于在系统不正常时回到初,用于在系统不正常时回到初始状态;在电梯外部,必须有升降请求端口,一层是最低层,不需要有 始状态;在电梯外部,必须有升降请求端口,一层是最低层,不需要有下降请求,四层是最
5、高层,不需要有上升请求,二、三层则上升、下降 下降请求,四层是最高层,不需要有上升请求,二、三层则上升、下降请求端口都有;在电梯的内部,应该设有各层停留的请求端口:一个电 请求端口都有;在电梯的内部,应该设有各层停留的请求端口:一个电梯时钟输入端口,该输入时钟以 梯时钟输入端口,该输入时钟以1 1 秒为周期,用于驱动电梯的升降及开 秒为周期,用于驱动电梯的升降及开门关门等动作;另有一个按键时钟输入端口,时钟频率比电梯时钟高。门关门等动作;另有一个按键时钟输入端口,时钟频率比电梯时钟高。选题当前研究的成果第5 页/共17 页第六页,编辑于星期日:十六点 四十一分。其次是输出端口,有升降请求信号以
6、后,就得有一个输出端口来指示请求是否 其次是输出端口,有升降请求信号以后,就得有一个输出端口来指示请求是否被响应,有请求信号以后,该输出端口输出逻辑 被响应,有请求信号以后,该输出端口输出逻辑 l l。被响应以后则恢。被响应以后则恢复逻辑 复逻辑 O O;同样,在电梯内部也应该有这样的输出端口来显示各;同样,在电梯内部也应该有这样的输出端口来显示各层停留是否被响应;在电梯外部,需要一个端口来指示电梯现在所处 层停留是否被响应;在电梯外部,需要一个端口来指示电梯现在所处的位置;电梯开门关门的状态也能用一个输出端口来指示;为了观察 的位置;电梯开门关门的状态也能用一个输出端口来指示;为了观察电梯的
7、运行是否正确,可以设置一个输出端口来指示电梯的升降状态 电梯的运行是否正确,可以设置一个输出端口来指示电梯的升降状态。选题当前研究的成果第6 页/共17 页第七页,编辑于星期日:十六点 四十一分。四层电梯控制器的结构体设计 四层电梯控制器的结构体设计 首 首 先 先 说 说 明 明 一 一 下 下 状 状 态 态。状 状 态 态 机 机 设 设 置 置 了 了lO lO 个 个 状 状 态 态,分 分 别 别 是 是 电 电 梯 梯 停 停 留 留 在 在l l 层 层(stoponl)(stoponl)、开 开 门 门(dooropen)(dooropen)、关 关 门 门(doorclos
8、e)(doorclose)、开 开 门 门 等 等 待 待 第 第1 1 秒 秒(waitl)(waitl)、开 开 门 门等 等 待 待 第 第2 2 秒 秒(wait2)(wait2)、开 开 门 门 等 等 待 待 第 第3 3 秒 秒(wait3)(wait3)、开 开 门 门 等 等 待 待 第 第4 4 秒 秒(wait4)(wait4)、上 上 升 升(up)(up)、下 下 降 降(down)(down)和 和 停 停 止 止(stop)(stop)。在 在 实 实 体 体 说 说 明 明 定 定 义 义 完 完 端 端 口 口 之 之 后 后,在 在 结 结 构 构 体 体a
9、rchitecture architecture 和 和begin begin 之间需要有如下的定义语句,来定义状态机。之间需要有如下的定义语句,来定义状态机。选题当前研究的成果第7 页/共17 页第八页,编辑于星期日:十六点 四十一分。type state_typeis(stopon1,dooropen,doorclose,wait1,wait2,wait3,wait4,up,down,stop);signal state:state_type:=stopon1;signal clearup,cleardn,buttclk,fliclk:std_logic;signal q:std_logi
10、c_vector(4 downto 0);选题当前研究的成果第8 页/共17 页第九页,编辑于星期日:十六点 四十一分。四层电梯控制器VHDL 设计四层电梯控制器的 四层电梯控制器的VHDL VHDL 描述模块流程图 描述模块流程图 选题当前研究的成果第9 页/共17 页第十页,编辑于星期日:十六点 四十一分。n n 本程序设计调用了 本程序设计调用了IEEE IEEE 库,库,IEEE IEEE 库是 库是VHDL VHDL 设计中最为常用的库,它包含有 设计中最为常用的库,它包含有IEEE IEEE 标准的程序包和 标准的程序包和其他一些支持工业标准的程序包。其他一些支持工业标准的程序包。
11、n n library ieee;use ieee.std_logic_1164.all;library ieee;use ieee.std_logic_1164.all;n n use ieee.std_logic_arith.all;use ieee.std_logic_arith.all;n n use ieee.std_logic_unsigned.all;use ieee.std_logic_unsigned.all;n n(2)(2)以关键词 以关键词ENTITY ENTITY 引导,引导,END ENTITY flift END ENTITY flift 结尾的语句部分,成为实体
12、。结尾的语句部分,成为实体。VHDL VHDL 的实体描述了电路 的实体描述了电路器件的外部情况及各信号端口的基本性质。本设计定义了关于三层电梯控制器用到的各类时钟、异 器件的外部情况及各信号端口的基本性质。本设计定义了关于三层电梯控制器用到的各类时钟、异步复位按键、信号灯指示、电梯的请求。端口模式主要就是 步复位按键、信号灯指示、电梯的请求。端口模式主要就是IN IN、BUFFER BUFFER、OUT OUT 端口。及定义了各 端口。及定义了各端口信号的数据类型,主要是 端口信号的数据类型,主要是STD_LOGIC(STD_LOGIC(标准逻辑位数据类型 标准逻辑位数据类型)、INTEGE
13、R(INTEGER(整数类型 整数类型)、STD_LOGIC_VECTOR(STD_LOGIC_VECTOR(标准逻辑矢量数据类型 标准逻辑矢量数据类型)。这些都满足上面调用的。这些都满足上面调用的IEEE IEEE 库中的程序包。库中的程序包。选题当前研究的成果第10 页/共17 页第十一页,编辑于星期日:十六点 四十一分。n n entity flift is entity flift isn n port(clk,reset,up1,up2,up3,down2,down3,down4,stop1,stop2,stop3,stop4:in std_logic;port(clk,reset,
14、up1,up2,up3,down2,down3,down4,stop1,stop2,stop3,stop4:in std_logic;n n uplight,downlight,stoplight:buffer std_logic_vector(4 downto 1);uplight,downlight,stoplight:buffer std_logic_vector(4 downto 1);n n udsig:buffer std_logic;udsig:buffer std_logic;n n position:buffer integer range 1 to 4;position:b
15、uffer integer range 1 to 4;n n doorlight:out std_logic);doorlight:out std_logic);n n end flift;end flift;n n(3)3)以关键词 以关键词ARCHITECTURE ARCHITECTURE 引导,引导,END ARCHITECTURE behav END ARCHITECTURE behav 结尾的语句部分,称为结构体。结尾的语句部分,称为结构体。结构体负责描述电路器件的内部逻辑功能或电路结构。本设计定义了 结构体负责描述电路器件的内部逻辑功能或电路结构。本设计定义了lO lO 个状态。描
16、述了在三层电 个状态。描述了在三层电梯中出现的各种可能的情况作为控制电梯的主要进程。梯中出现的各种可能的情况作为控制电梯的主要进程。选题当前研究的成果第11 页/共17 页第十二页,编辑于星期日:十六点 四十一分。选题当前研究的成果电梯主控制器模块 第12 页/共17 页第十三页,编辑于星期日:十六点 四十一分。选题当前研究的成果主控制器波形图第13 页/共17 页第十四页,编辑于星期日:十六点 四十一分。首先对主控功能进行完善,然后对分控制器及其他部分的功能进行编译、调试,完成选题的所有功能。选题下一步任务第14 页/共17 页第十五页,编辑于星期日:十六点 四十一分。谢谢各位老师 请提出宝贵意见!第15 页/共17 页第十六页,编辑于星期日:十六点 四十一分。谢 谢!放映结束 感谢各位观看!让我们共同进步第16 页/共17 页第十七页,编辑于星期日:十六点 四十一分。