【精品】Xilinx_ISE软件简单教程精品ppt课件.ppt

上传人:1595****071 文档编号:86273675 上传时间:2023-04-14 格式:PPT 页数:27 大小:1.49MB
返回 下载 相关 举报
【精品】Xilinx_ISE软件简单教程精品ppt课件.ppt_第1页
第1页 / 共27页
【精品】Xilinx_ISE软件简单教程精品ppt课件.ppt_第2页
第2页 / 共27页
点击查看更多>>
资源描述

《【精品】Xilinx_ISE软件简单教程精品ppt课件.ppt》由会员分享,可在线阅读,更多相关《【精品】Xilinx_ISE软件简单教程精品ppt课件.ppt(27页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。

1、Xilinx_ISE软件简单教程设计一个模设计一个模1616计数器计数器要求:要求:1、系统输入时钟、系统输入时钟48MHZ2、系统输出、系统输出1HZ信号,用信号,用LED指示灯显示;指示灯显示;3、系统输出模、系统输出模16数据,用数据,用4个个LED指示灯显示;指示灯显示;4、系统下载配表管脚:、系统下载配表管脚:CLK:T8模模16四个四个LED1-4:C10、A10、B10、A91HZ信号信号LED:B82 21、启动软件、启动软件 启动启动program/xilinx ise 9.1/project Navigatorprogram/xilinx ise 9.1/project N

2、avigator到下图界面到下图界面3 32、建立项目工程、建立项目工程【filefile】-【new projectnew project】如下图所示】如下图所示 ,键入项目的名称和保,键入项目的名称和保存项目各文件的地址(注意项目文件名不要用中文,开头不要用存项目各文件的地址(注意项目文件名不要用中文,开头不要用数字)数字)4 43、设置参数、设置参数 建好后击建好后击“下一步下一步”,新项目对话框如下图所示,按下图配置参,新项目对话框如下图所示,按下图配置参数数 5 55、新文件的输入、新文件的输入输入文件名(不要同项目名相同,选输入文件名(不要同项目名相同,选VHDL Module8

3、86、新文件输入完善、新文件输入完善一直单击一直单击“下一步下一步”直到完成到下图直到完成到下图,在右窗中输入源程序在右窗中输入源程序9 9library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity cnt16 isport(clk:in std_logic;dout:out std_logic_vector(3 downto 0);dclk1:out std_logic);end cnt16;architecture Behaviora

4、l of cnt16 is signal clk1:std_logic:=1;signal q:integer range 0 to 24000000:=0;signal w:std_logic_vector(3 downto 0):=0000;Begin dclk1=clk1;dout=not w;设计输入例程设计输入例程1010t1:process(clk)begin if clkevent and clk=1 then if q=23999999 then q=0;clk1=not clk1;else q=q+1;end if;end if;end process;t2:process(

5、clk1)begin if clk1event and clk1=1 then if w=9 then w=”0000“;else w=w+1;end if;end if;end process;end Behavioral;设计输入例程设计输入例程11117、程序语法检查、程序语法检查点中源文件,双击处理窗中的点中源文件,双击处理窗中的Check Syntax1212查看报告窗,并对错误进行修改,再运行查看报告窗,并对错误进行修改,再运行Check Syntax,直到报告窗为直到报告窗为Successfully13138、功能仿真、功能仿真【project】-【new source】,键入仿

6、真文件名,直到完成,键入仿真文件名,直到完成1414仿真建立的完善仿真建立的完善Soure for 中选中选Bh.Simulation,在仿真文件右窗中输入,在仿真文件右窗中输入激励信号的波形参数值。激励信号的波形参数值。1515Clk赋值:赋值:1616仿真运行仿真运行点中仿真文件,双击处理窗中的点中仿真文件,双击处理窗中的Simulate Behavioral Model.1717观看显示波形观看显示波形1818管脚适配管脚适配Sources for 设置为设置为Synthesis.,选中要适配的文件。双击处理窗,选中要适配的文件。双击处理窗的的Assign Package Pins,该操

7、作会提示生成一个,该操作会提示生成一个.ucf文件,选是文件,选是1919按下图在按下图在LOC位置把管脚分配好,并保存位置把管脚分配好,并保存2020文件编程文件编程退回源窗和处理窗,选中下载文件,运行处理窗退回源窗和处理窗,选中下载文件,运行处理窗Generate Programming File,生成,生成.Bit下载文件,下载文件,关闭产生的对话框关闭产生的对话框Xilinx Webtalk Dialog。2121文件下载实现文件下载实现连接开发板,打开电源,点中下载源文件连接开发板,打开电源,点中下载源文件,双击处理窗中的双击处理窗中的Configure Device。选。选JTAG模式。模式。2222下面窗口选下面窗口选JTAG,点,点Finish2323配置窗口中选配置窗口中选BIT下载文件,点下载文件,点Open,关掉第三方存储下载文件窗口关掉第三方存储下载文件窗口2424点左边的下载标志,单击鼠标右键,点左边的下载标志,单击鼠标右键,运行运行Program,2525编程属性窗什么都不选,直接点编程属性窗什么都不选,直接点OK2626程序开始下载,直到出现程序开始下载,直到出现Program Succeeded,观察开发板上所编文件的执行情况观察开发板上所编文件的执行情况2727

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 小学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号© 2020-2023 www.taowenge.com 淘文阁