《光纤实验指导书.doc》由会员分享,可在线阅读,更多相关《光纤实验指导书.doc(63页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。
1、光 纤 通 信 实 验 指 导 书广东技术师范学院电子与信息学院目录实验一、半导体光源P-I特性曲线测试(做)7实验二、光线路码实验(做)8实验三、平均发送光功率的测试(做)13实验四、消光比EXT测试(做)15实验五、模拟光发送调制度m测试16实验六、光纤几何特性测量17实验七、光纤损耗特性测量19实验八、光纤无源器件特性测试(做)23实验九、光接收机灵敏度测试24实验十、光接收机动态范围的测试27实验十一、眼图实验28实验十二、语音光纤传输系统32实验十三、2M数字光纤通信系统36实验十四、WDM光纤通信系统(做)41实验系统组成实验平台主要包括以下几个部分:传输光纤及无源光器件、模拟和数
2、字光端机各一对、测试信号(如模拟的三角波、正弦波和锯齿波和数字的序列等)和各种时钟源、丰富的接口(如2M口、电视、电话、计算机接口等)、主控CPU及键盘、液晶显示、误码性能测试等。实验平台可单独使用,亦可与光纤通信系统测试仪表(如光功率计、误码测试仪、话路特性测试仪等)配合使用,也可多台组网使用。它有如下的特点:(1) 实验系统交互工作,内容重点突出丰富且透明开放;(2) 电路采用模块化设计、波型测试点有代表性;(3) 单片机与模块电路、系统硬件与软件、分立元件与集成电路相结合,便于学生掌握光纤通信中的关键知识点;(4) 传输的信息类型多,有模拟电话、PCM电话、视频信号、计算机数据、外接仪表
3、输出信号;(5) 实验者亦可通过PLD设计来验证自己的设计正确与否,增强了主动性。下面对各部分作一介绍。(1)接口部分 这是平台与外界联系的窗口,通过这一部分完成光纤通信系统所传送的业务。具体讲有三个对外的接口:2M接口、RS232接口和电话接口,分别用来传输外来的数据(如计算机的数据、2M的数字业务)和模拟业务(如语音)。(由于时间原因,这一批实验平台中还没有加入与图像光纤传输相关的实验项目)。(2)信号源部分由于许多实验室仪表紧张,在实验平台中采用先进的信号产生技术产生了实验所需的信号,如模拟通信所需的模拟信号波形(如方波、三角波、正弦波和锯齿波等)和数字通信所需的2M(实际速率为2.04
4、8Mb/s,简称2M)伪随机序列等。(3)时钟源提供系统工作所需的所有时钟,如数字化信号源所需的时钟、CMI码和HDB3码的编码时钟等。(4)光发送端机和光接收端机光发送端机由数字光发送驱动电路和模拟光发送驱动电路组成。通过选择开关,它们可以完成模拟信号(如方波、三角波、正弦波和锯齿波等)和数字信号(如CMI、PCM和2M的PN信号以及串口数据)的光纤传输。光接收端机由光接收组件、带自动增益控制的主放大器和数据重建电路组成,完成发送机送来的信号的恢复和再生。由于电话和串行数据的光纤传输是双向的,因此,我们设计了两套光收发模块,这也为WDM实验的开展提供了条件。如果购买的是单工方式,则只需用一对
5、光发送和光接收。(5)主控和人机接口主控CPU、键盘输入和液晶显示等部分构成了控制模块,完成所有操作的选择和误码测试。(6)电源部分 电源模块则为整个实验平台供给能量,由一个AC-DC电源模块和DC-DC变换电路完成。实验平台的具体组成框图如图1所示。实验平台实物的分布图二、实验项目实验说明:1、 本实验平台提供的是一个开放式系统,因而实验项目和方法都比较灵活,本实验指导书只提供了一种参考,实验时不必拘泥于这里提供的方法。大家应根据理论课的学习积极思考。2、 本实验的所有电路图集均已给出,大家实验之前应认真地学习和掌握,这样实验效果会更好。这也实验的目的之一。3、 大家在搞清电路图的基础上,应
6、能领会实际的光纤通信产品的实现方法,考虑这里为什么采用这种电路,如果你来实现将采用何种电路方式。4、 本实验主要借助于电位器、可调电容、跳线(有二脚的,三脚的等,它可以用短接帽来选择性的连接,可以水平或垂直方向排列,水平排列左起为第1脚,垂直排列右上为第1脚)实现系统配置和所选系统的正常工作的调整。5、 由于有与之配套的实验教程,因而为了一致,实验指导书实验部分14章相当教程的第5-8章的叙述。6、 由于实验平台是属于精密仪器,像光纤、光源等光器件价格高且易损坏,大家应严格按科学的方法实验,养成良好的实验作风。实验一、半导体光源P-I特性曲线测试一、实验目的:半导体光源的P-I特性曲线测试。二
7、、实验器材:光纤通信实验箱、数字万用电表三、实验原理:其中P为平均发送光功率,I是注入电流四、实验步骤:测试框图如图5.2所示。其中S、R为活动连接器(ST型),RP501为可变电阻位于数字光发电路的上方。P-I曲线测试步骤为:1、 码型发生器自A点(对应实验平台测试点TP501)给光发送机送方波信号作为测试信号。大家可以借助键盘和液晶来选择方波信号(实验平台加电后,先按复位键复位系统,屏幕出现“请选择”提示后,按键选择“方波”),此时,TP501点应能测试到方波信号。参考附录B中光发模块甲的原理框图可知,为了把数字信号发往线路,除了用短接帽将跳线XP500的1-2脚相连外,还需要通过双刀双掷
8、开关KS501选通模拟光源驱动电路和数字光源驱动电路。本实验中选择数字光源驱动电路(按键KS501抬起即可)。2、 从发送模块甲的光源组件(如1414T/1312T)的连接器S中取出保护塑料套,用光纤跳线分别插入发送端连接器S与光功率计的输入连接器插头,连接光发送端的光输出与光功率计,此时从光功率计读出的功率就是光端机的平均发送光功率P。3、 与此同时,用数字万用表测量两点之间电压(红色表笔接电源VCC,附近找不到电源可以将红色表笔接触SN75452的8脚或2脚;黑色表笔接触测试点TP502)。测得的电压值除以电阻值R=R501+RP501(测RP501的电阻值时应该将实验平台的供电切断),其
9、中R501是51的固定电阻,即可得到注入电流I。改变RP501的阻值,将得到一组测得的P、I的值记录下来,我们便可以描绘P-I曲线。这里需说明的是这里测得的是P-I曲线的一段(功率调节范围约4个dB),为了防止烧坏光发送组件,电流I的调节范围有限(电流调节范围约为20mA),但不妨碍整个P-I曲线的测量,因为测试方法是一样的,只是多测几组值而已。五 实验数据1 TP502处的正弦波2平均发送光功率P(uw)电压U(V)R=R501+RP501(欧姆)电流I=U/R(mA)1234R是在100欧姆左右,平均发送光功率P(uw)是数uw , 电压U(V)是 2伏特左右3 P-I曲线:实验二、光线路
10、码实验一、实验目的:半导体光源的P-I特性曲线测试。二、实验器材:光纤通信实验箱、示波器三、实验原理: 码型变换含义广泛,本节中我们将要介绍的码型变换指的是线路码型的编码和译码。我国邮电部从管理的角度出发,规定了几种在公用网上使用的码型(专用网也可以参照使用):5B6B、CMI、扰码二进制、1B1H以及565Mbit/s光纤传输系统用的8B1H。本节中将以CMI的编解码为例介绍码型变换实验。CMI码即是Coded Mark Inversion(编码传号反转)码的缩写,表5-1中给出了其变换规则,传号1交替地用00和11表示(若一个传号编为00,则下一个传号必须编为11,也就是交替反转),而空号
11、0则固定用01表示。 图5.3中给出了波形的例子。由于一个码元变成了两个码元,所以它属于二电平的NRZ的1B-2B码型。CMI码具有双相码的优点,且不怕信道相位反转(信息码为“1”时,两个线路码相同;信息码为“0”时,两个线路码相反。信道相位反转后,仍有此性质),具有一定的纠错能力,易于实现,易于定时提取,因此在低速系统中选为传输码型。在ITU-T的G.703建议中,规定CMI码为四次群(139.264Mbit/s)的接口码型。日本电报电话公司在32Mbit/s及更低速率的光纤通信系统中也采用了CMI码。 图5.4给出了CMI编码的原理框图。编码电路接收来自信号源的单极性非归零码(NRZ码),
12、并把这种码型变换为CMI码送至光发送机。输入若是传号,则翻转输出;若是空号,则打开门开关,使时钟的反向输出。其电路原理如图5.5所示。需要注意,输入的单极性码已经与时钟同步。本实验系统中采用可编程逻辑器件(PLD)来实现CMI的编译码。CMI编码的VHDL源程序如下:library ieee;use ieee.std_logic_1164.all;entity df is port(invert,clk:in std_logic; q:buffer std_logic);end df;architecture df of df is signal d:std_logic; begin d=q
13、xor invert; process begin wait until clk=1; q=d; end process; end df;library ieee;use ieee.std_logic_1164.all;entity cmi_code is port (nrz,clk:in std_logic; cmi:out std_logic);end cmi_code;architecture cmi_code of cmi_code is component df port(invert,clk:in std_logic; q:buffer std_logic); end compon
14、ent; signal a,b:std_logic; begin cmi=a when nrz=1 else b; b= not clk; u:df port map(nrz,clk,a); end cmi_code;解码采用的思想很简单:当时钟和信码对齐时,如果输入的是11或00,则输出为1;如果输入为01,则输出为0。但是问题的关键在于怎样才可将一序列的码元正确地两个两个分组。经过传输处理后的CMI码首先要提取位同步时钟,接着抽样判决。此时CMI码流和发送的码流在波形上没有区别(暂时忽略误码的情况),但将其两个两个分组,却有两种不同的情况。当然,其一是正确的,可以得到正确的译码结果,如果接
15、下来的工作亦是正确的话;而另一种在绝大多数的情况下将导致译码工作的失败。结合CMI码流的特点,这里提供了两种可以正确分组的方法:如果在码流中检测到了0101的话,那么就可以将紧接着它们的两个码元分为一组,以此类推;另一种方法更为简单易行,那就是在码流中检测1到0的跳变后,就可以将下降沿后的两个码元分为一组了。一般情况下,第二种方法可以尽快地实现正确的分组。下面的例子具体说明了这两种方法的使用。如图5.6所示:接下来就是依据编码规则进行译码了。这里列举了三种具体的解决方案:第一种方案:其原理框图如图5.7所示,原理电路如图5.8所示。从位同步时钟中分离出两路时钟,它们和位同步时钟同频,但是占空比
16、不一样,两路时钟信号的占空比都是25。其区别在于它们的相位相差半个周期。将每组中的两个码元分开,从而形成了第一路信号和第二路信号,在两路时钟的正确作用下比较这两路信号,便可以将CMI码解译出来。这种方案电路结构简单,各部分功能清晰,易于理解和操作。第二种方案:解码方法的本质和第一种相似,差别主要在于找到正确分组的方法不同,它分别用二分频后的时钟的上升沿和下降沿来读取两路信号,其原理框图如图5.9所示。第三种方案:这里的译码思路稍有变化。CMI码流经过串并转换后,在二分频后的位同步时钟作用下读出,进行比较译码,其原理框图如图5.10所示。四、实验步骤: 理解了CMI编译码的原理后,就可以动手来验
17、证了。实验框图如下图所示。具体实验步骤如下:1、 首先要做的是:在断开电源的情况下,通过正确的跳线来建立一个数字光纤通信系统,这个系统在本实验中传输的是CMI码(速率为64kbit/s),所要完成的功能是对CMI的编译码。由附录B中的原理电路图集,可以知道:光发模块甲中,首先通过短接帽将跳线XP500的1、2脚相连,开关KS501要选择为传输数字信号(按键抬起即选中数字光源驱动电路);光收发模块甲之间用光纤跳线连接;光收模块甲中,通过短接帽将跳线XP503的5、6脚相连、XP504的2、3脚相连(选择主放大器MAX435放大信号)以及XP505的“CMI”传输,放大后的信号流向误码检测模块译码
18、。时钟源数字分频PN序列数字选择开关开关CMI编码CPLD光发模块甲光收模块甲TP401信号 放大CMI编码CPLDPN序列DPLL时钟TP602TP601图5.11 CMI实验框图2、 数字光纤通信系统建立起来后,同学们可以通过键盘和液晶来选择所要传输的码型,本实验中选择CMI码(同样,实验平台加电后,先按复位键,再选择所要传输的码型)。此时,测试点TP501、TP502应该能测到编好的CMI码的波形。此时应注意的是:PLD对输入信号的幅度有一定要求,必须调节光发模块甲的可调电阻RP501、光收模块甲的可调电阻RP505、RP506和RP508,充分放大接收信号。3、 我们可以在测试点TP5
19、04、TP505测试到经过光纤跳线传输后的CMI码波形;跳线后,可在TP507测试到放大后的CMI码,可在TP601探测到译码后的PN(伪随机)序列;在测试点TP602我们可以探测到用来译码的64KHz位同步时钟(此时钟由误码检测模块中的数字锁相环提供,因为锁相环会带来抖动,所以比较TP602与TP401的波形时同学们会观察到抖动现象)。测试点TP401输出的信号是发送端的PN伪随机序列,系统正是对这个序列进行CMI编译码的。读者可以比较TP601与TP401的信号(想想为什么两个波形会有时延),也可以比较TP501和TP401的信号,从而验证自己所编写的CMI编译码程序是否正确。四实验数据(
20、参照图5-3的画法)TP401TP501TP502TP504TP505实验三、平均发送光功率的测试一、实验目的:平均发送光功率的测试二、实验器材:光纤通信实验箱、光功率计三、实验原理:光端机的平均发送光功率是指在正常工作条件下光端机输出的平均光功率,即光源尾纤输出的平均光功率。平均发送光功率指标与实际的光纤线路有关,在长距离光纤数字通信系统中,要求有较大的平均发送功率;在短距离的光纤数字通信系统中,要求较小的平均发送光功率。设计人员应根据整个光纤通信系统的经济性、稳定性和可维护性全面考虑该指标,提出合适的数值要求,而不是越大越好。平均发送光功率测试框图如图5.12所示。其测试步骤如下:(1)
21、各种指标的测试都要送入测试信号,自光端机A点送入PCM测试信号。根据ITU-T建议,信号源应能产生不同长度的码型信号,不同码速的光纤数字通信系统要求送入不同的PCM测试信号,速率为2048kbit/s和8448kbit/s的数字系统送(215-1)伪随机码,34368kbit/s和kbit/s的数字系统送(223-1)伪随机码。(2) 用光纤测试线(即光纤跳线)分别插入发送端连接器与光功率计连接器,连接光端机的光输出与光功率计,此时从光功率计读出的功率P就是光端机进入光纤线路的平均发送光功率。光端机的平均发送光功率应考虑发端连接器S的损耗,即P是考虑了发端连接器S的损耗。(3) 有的功率计可直
22、接读dBm,若只能读mW(毫瓦)或W(微瓦)应换算成dBm, (5.1)需要说明两点:1) 平均光功率与PCM信号的码型有关,NRZ码与50%占空比的RZ码相比,其平均光功率要大3dB。2) 光源的平均输出光功率与注入它的电流大小有关,测试应在正常工作的注入电流条件下进行。四、 实验步骤: 实验平台中,可以选择系统自身产生的2M伪随机序列来测试平均光功率,不过出于演示的目的,系统中PN序列的长度只有24-1,即15位。具体的实验步骤如下:1、用短接帽将跳线XP401的1、2两脚连接,这样选择传输的是系统内部产生的2M伪随机序列。如果将2、3两脚连接,则传输的将是外部输入的2M数据。2、选择光发
23、模块甲。用短接帽将跳线XP500的1、2脚相连,开关KS501选择传输数字信号。3、从发送模块甲的光源组件连接器S中取出保护塑料套,用光纤跳线分别插入发送端连接器S与光功率计的输入连接器插头,连接光发送端的光输出与光功率计。4、测试系统建立后,即可以给实验平台加电,按复位键后,从键盘输入PN,以控制系统产生2M信号。然后光功率计上就可以显示出平均光功率值。大家也可以从键盘输入方波或CMI码,我们会发现不同的数字信号驱动光源时,所产生的平均光功率有一些差别。思考一下为什么?PN方波CMI码平均光功率(dBm)实验四、消光比EXT测试一、实验目的:消光比EXT测试二、实验器材:光纤通信实验箱、光功
24、率计三、实验原理:消光比的测试框图如图5.2所示,将光端机的输入信号断掉(即不给光端机送电信号),测出的光功率即为P00,即对应的输入数字信号为全“0”时的光功率。测量P11时,信号源送入(2N-1)伪随码,N的选择与平均发送光功率测试相同。因为伪随机码的“0”码和“1”码等概率,所以,全“1”码时的光功率应是伪随机码时平均光功率P的两倍,即P11=2P,则消光比为: (5.2)测试结果可按上式计算。某些资料中,消光比还使用以下的一种表示公式: (5.3)当P00=0.1P11时,EXT=10dB。四、实验步骤: 实验平台中,可以选择系统自身产生的2M伪随机序列来测试消光比。不过出于演示的目的
25、,系统中PN序列的长度只有24-1,即15位。具体的实验步骤如下:1、跳线XP401应将1、2两脚连接,这样选择传输的是系统内部产生的2M伪随机序列。如果将2、3两脚连接,则传输的将是外部输入的2M数据。2、选择光发模块甲。开关KS501选择传输模拟信号,跳线XP502不作任何连接,使得光发送组件没有信号输入。此时将光功率计与光发模块甲之间通过光纤跳线连接起来,测试得到的光功率即为P00。3、选择光发模块甲。XP500的1、2脚相连,开关KS501选择传输数字信号,实验平台加电后,按复位键,即可以从键盘输入PN,以控制系统产生2M的信号。此时,将光功率计与光发模块甲之间通过光纤跳线连接起来,测
26、试得到的光功率即为P11的一半。4、 按照式(5.2)计算消光比。五 实验数据P00(uw)P11/2(uw)实验五、模拟光发送调制度m测试一、实验目的:模拟光发送调制度m测试,认识与了解模拟光纤通信中的信号失真二、实验器材:光纤通信实验箱、示波器三、实验原理:一般光纤线路有足够的带宽,可以假设信号在传输过程不存在失真,只受到exp(L)的衰减,式中为光纤线路平均损耗系数, L为传输距离。由于到达光检测器的信号很弱,光接收机引起的信号失真可以忽略。在这些条件下,光检测器的输出光电流 is=I0(1+m cost) 式中, Im=mI0 为信号电流幅度,I0为平均信号电流,m为调制指数,其定义为
27、三、实验步骤:对于模拟光发送机,除了要测量其平均发送光功率、P-I曲线外,还要测量其对光源的调制度m。其测量基础是依据P-I曲线的测量。测试步骤为:1、 波形发生器自A点(对应实验平台测试点TP503)给光发送机(甲)送模拟信号作为测试信号。大家可以借助键盘和液晶来选择正弦波信号(实验平台加电后,先按复位键复位系统,然后按键选择正弦波信号),此时,TP503点应能测试到正弦波信号。本实验中选择模拟光源驱动电路驱动光源发光(按键KS501按下即可)。跳线XP501和跳线XP502有两种连接方式,一种使三极管V502工作在共射极状态,另一种使其工作在集电极放大状态。此实验中,我们选择XP501的2
28、、3两脚相连,XP502的2、3两脚相连。如果选择XP501和XP502的1、2两脚相连,应该将LED501短接,以增大驱动电流。(注:集电极放大状态输出时,即XP501和XP502的2、3两脚相连,切忌不能短接LED501,否则驱动电流很可能过大而烧坏光发送模块和晶体管V502。)2、 调试模拟光发送机(注:模拟光发送机调试相对复杂一些,要使三极管V501、V502均工作在线性放大状态)使送到光发模块的信号幅度最大同时又不失真。我们可以借助测试点TP502观察晶体管的输出波形。3、 记录示波器中TP502的信号电平(U)和直流电平(U),找到其负载电阻的值,我们即可将之换算成I和I,从而计算
29、出调制度(参照第三章的介绍)。调节可变电阻器RP301、RP502、RP503和RP504,我们可以观察信号波形的失真与线性。有时我们会发现即使TP502的信号波形无失真,但接收端光电转换后的波形却出现了略微的失真,其原因在于LED光源本身的线性和直流工作点。五实验数据:1 开始测到的TP503处波形如图:调整RP301后 得到较完美的正弦波如图:2 此时的TP502处波形如图:调节可调电阻RP503、RP504 有时也要调整 RP301后得到较完美的正弦波如图(此图后面还要用到):波谷与波峰处的电平与 调制度m此时的TP503的波形如图3此时TP504处波形:调整调节可调电阻RP503、RP
30、504 有时也要调整后得到较完美的正弦波如图此时的TP502处的波形如图分析观察信号波形的失真与线性断电后测出TP502与地之间的电阻R把 前面提到的TP502出的波形算出电流I的波形再利用实验一得到的P-I曲线 得到如下图 实验六、光纤几何特性测量一、实验目的:光纤几何特性测量二、实验器材:光纤、HeNe激光器三、实验原理:光纤的几何参数是最基本的参数,它们除对光纤的传输性能和机械性能有影响外,还对光纤的连接损耗产生很大的影响。它们是光纤物理尺寸制造的依据。在光纤制造过程中要严格控制光纤的几何尺寸,而且对产品要进行认真的测量。多模光纤的几何参数包括:纤芯直径、包层直径、芯不圆度、包层不圆度、
31、纤芯、包层同心度等;单模光纤的几何尺寸参数包括:包层直径、包层不圆度、模场直径、模场不圆度和模场同心度误差等。其测试方法有:折射近场法、近场法、显微镜法等。其中折射近场法是多模光纤几何特性测试的基准方法,是单模光纤几何特性测试的替代方法(用的是He-Ne 激光器,波长为633nm),从测得的折射率分布图来确定几何参数。近场法为单模光纤几何特性的基准测试方法和多模光纤几何特性的替代测试方法,从测得的近场强度分布图来计算光纤的几何参数。对多模光纤的几何参数和单模光纤的包层直径,显微镜法是一种比较实用的方法。国内一般使用分辨率为0.5m的读数显微镜,测量的重复性约为1m。该方法操作简单,使用方便,价
32、格便宜,适于批量产品的检验。需要注意的是,当测量芯径时,必须经常与折射率分布曲线确定的几何尺寸对比定标。数值孔径是多模光纤的一个重要的光学参数,它表征多模光纤集光能力的大小以及与光源耦合难易的程度,同时对连接损耗、微弯损耗及衰减温度特性、传输带宽等都有影响。下面介绍一种简易的测量NA的方法:远场光斑法。这种测试方法的原理本质上类似于远场光强法,只是结果的获取方法不同。该方法不是标准方法,但简单易行,可供参考。光斑法测试系统原理如图6.1所示。其所用光源不是强度可调的非相干光源,而是相干光源(如He-Ne激光器)。测试时,在暗室中将光纤出射远场投影到有坐标格的屏幕上,用数格子的办法测出光斑直径d
33、,通过下式算出数值孔径: (6.1)这里k是一个常数,可用一根已知数值孔径的光纤进行标定,如k=0.01,当d=20cm时,立即可知光纤的数值孔径为0.20。至于单模光纤的模场直径和截止波长等参数,由于对测试设备要求比较严格,一般学校没有配备,因此这里不再讨论。实验七、光纤损耗特性测量一、实验目的:光纤损耗特性测量消光比EXT测试二、实验器材:光纤、光功率计三、实验原理:光纤的损耗特性中一个重要的参数是(),它表示在波长处的衰减系数。其定义为单位长度光纤引起的光功率衰减,单位是dB/km。当长度为L时, (6.2)应用上式时,要特别注意两点:假定光纤沿轴向是均匀的,即与轴向位置无关。对多模光纤
34、,必须达到平衡模分布。只有满足这样的条件,测得的衰减系数才能线性相加。光纤衰减系数测量的目的是为了提供单根光纤的衰减,以便将单根光纤的衰减加起来确定连接长度的总衰减。对制造长度所规定的衰减值应在室温下测量,即1035。ITU-T G.650、G.651都规定截断法为基准测量方法,背向散射法(OTDR法)和插入法为替代测量方法。1截断法截断法是一个直接利用衰减系数定义的测试方法。在不改变注入条件下,分别测出长光纤的输出功率P2和剪断后约2m长度短光纤的输出功率P1,按定义计算出()。该方法测试精度最高。根据需要,测量可在一个波长或多个波长上进行,或在某一波长范围内测出衰减谱。图6.7和图6.8分
35、别示出了定点测量和衰减谱测量系统的装置。测试系统主要包括光源、光注入系统、光检测器及信号收集数据处理单元等。光源选择取决于测量类型,或者是激光器、发光二极管,或者是卤素灯。在完成测量的足够长的时间内,应保持光源强度、波长和位置的稳定。光源线宽应保证分辨出衰减谱曲线上的任何精细特征。光检测器要能收集所有的辐射光,谱响应要与光源的谱特性相匹配,灵敏度应线性。衰减谱测试系统的光源要有足够宽的波长范围,如卤素灯。用单色仪分光后,光功率一般很小,为了提高信噪比,需要进行交流检测,用斩光器进行光强调制,采用锁相放大器技术,从而扩大动态范围。为了保证高精度的测量要求:测试系统高度稳定;合适的注入条件;高质量
36、的光纤端面。这里,着重讲一下注入条件和注入技术。对多模光纤衰减的测量,注入条件是头等重要的。我们知道,多模光纤中可以激励成百上千个模,由于耦合条件不同,各模携带的初始能量亦不同;传播过程中,由于模变换、模耦合和模衰减,各模携带的能量比例不断变化,只有经过很长的传输距离后,各模传输能量的比例才能固定下来。这时,我们说达到了平衡模分布或稳态模分布。从场图判断,也就是光纤输出端的近场分布和远场分布不再随长度而变化。随着光纤轴向均匀性的差异和光纤所处的状态不同,达到平衡模分布的长度也不一样,一般可从几百米到几千米不等。显然,测量剪断后2m光纤的长度是远远达不到平衡模分布的要求。为了满足测试的需要,必须
37、加速平衡模分布建立的过程,也就是说,要人为的控制注入条件和注入技术,使2m长光纤输出端的场分布接近平衡模分布。注入技术采取的措施包括扰模器(scrambler)、滤模器 (mode filter)和包层模剥除器(cladding stripper)等,如图6.9所示。扰模器:用来促使各模式间的能量转换,以形成与光源特性无关的模分布。常用的类型有机械柱状扰模器和SGS(阶跃-梯度-阶跃)光纤型扰模器(见图6.10 (a) (b)。滤模器:用来选择或消除某些模式的器件,以保证达到接近平衡模分布。包层模剥除器:促使包层模转变为辐射模,以便消除光纤中的包层模。对高折射率涂覆材料的光纤,不需要用包层模剥
38、除器;对低折射率涂层的光纤,用折射率大于包层的匹配液即可。对单模光纤,因只传播基模,不存在稳态模分布的问题,不需要扰模器。但注入条件要足以激励起基模,使用滤模器,滤除接近传输条件的高次模,防止高次模在剪断2m后的传输。对低折射率涂层材料光纤,还要注意剥除包层模。由于单模光纤的衰减系数很小,特别是在1550nm处,一般为0.200.30dB/km,所以对测试系统稳定性的要求比多模光纤要求高。以上的分析可知,由激光器尤其多模激光器光源发出的光在多模光纤中传输模式的稳定和高次模的波除是非常重要的,这里介绍一种简单易行的方法来滤除高次模,使模式稳定。四、实验步骤:1、 码型发生器自A点(对应实验平台测
39、试点TP501)给光发送机送方波信号作为测试信号。大家可以借助键盘和液晶来选择方波信号(实验平台加电后,先按复位键复位系统,屏幕出现“请选择”提示后,按键选择“方波”),此时,TP501点应能测试到方波信号。参考附录B中光发模块甲的原理框图可知,为了把数字信号发往线路,需将XP500的1、2脚相连,还需通过双刀双掷开关KS501选通模拟光源驱动电路和数字光源驱动电路。本实验中选择数字光源驱动电路(按键KS501抬起即可)。2、 从发送端(甲)连接器S中取出保护塑料套,用光纤跳线分别插入发送端连接器S与光功率计的输入连接器插头,连接光发送端的光输出与光功率计,此时从光功率计读出的功率就是光端机的
40、平均发送光功率P。(参见平均光功率的测试)。3、 取出一支铅笔,将光纤沿着铅笔绕68圈,观察光功率计上读数的变化。刚一开始可以绕得松一点,然后逐渐加力,使得光纤越来越紧贴铅笔,看功率值是否变小。(注意动作应轻以免扭断光纤)。最后光功率基本稳定且比绕之前要小约36dB(只要变小就行,此值仅供参考)。紧绕铅笔圈数1圈2圈3圈4圈5圈6圈7圈8圈9圈P(dBm)绕5圈直径不同直径3.5cm3cm2.5cm2cm1.5cm1cmP(dBm)实验八、光纤无源器件特性测试一、实验目的:光纤无源器件特性测试二、实验器材:稳定光源、光功率计、耦合器、波分复用器三、实验原理:对于光无源器件而言,插入损耗和分光比
41、是两个比较常用的性能参数,因为它们都与光功率有关,因而采用如图6.16所示的实验框图即能对光纤活动连接器、耦合器等的性能参数做一些简单的测试。四、实验的步骤:图6.17 光纤耦合器的特性测试框图数 字光 发光 功率 计TP501方波信号输入SR2x212341、 码型发生器自A点(对应实验平台测试点TP501)给光发送机送方波信号作为测试信号。大家可以借助键盘和液晶来选择方波信号(实验平台加电后,先按复位键复位系统,然后按键选择方波),此时,TP501点应能测试到方波信号。参考附录B中光发模块甲的原理框图可知,为了把数字信号发往线路,除了将XP500 1-2脚相连,还需要通过双刀双掷开关KS5
42、01选通模拟光源驱动电路和数字光源驱动电路。本实验中选择数字光源驱动电路。2、 从发送端(甲)连接器S中取出保护塑料套,用光纤跳线分别插入发送端连接器S与光功率计的输入连接器插头,连接光发送端的光输出与光功率计,此时从光功率计读出的功率就是光端机的平均发送光功率P。3、 从S、R活动连接器处取下光纤跳线,将待测的光无源器件(如光纤耦合器)连接到S和R点之间。由于器件的输入输出端口不止一个,因而应分别进行测试。下面以图6.17所示端口的测试为例进行介绍,其余端口的测试不在重复。4、 测出光功率,即可计算出端口1-3的插入损耗;将R点的连接器取出分别与端口4、2相连测出对应的光功率,即可算出3-4
43、之间的分光比、1-2之间的隔离度等参数。五 实验数据输入端1输入时端口1端口2端口3端口4功率(uw)计算3-4之间的分光比、1-2之间的隔离度输入端2输入时端口1端口2端口3端口4功率(uw)3-4之间的分光比、1-2之间的隔离度WDM850 nm端口1310nm端口总端口合波的情况(uw)(uw)(uw)分波的情况(uw)(uw)(uw)实验九、光接收机灵敏度测试一、实验目的:光接收机灵敏度测试二、实验器材:光纤通信实验箱、光功率计、光衰减器三、实验步骤:光接收机灵敏度测试框图如图7.1所示。具体测试步骤分以下几步:1、 按图7.1要求将误码测试仪和光可变衰减器与光纤数字通信系统连接。2、
44、 误码测试仪向光端机送入测试信号,PCM测试信号为伪随机码,长度为(2N-1),N的选择与平均发送光功率测试相同。3、 调整光衰减器,逐步增大光衰减,使输入光接收机的光功率逐步减小,使系统处于误码状态。然后,逐步减小光衰减器的衰减,逐渐增加光接收机的输入光功率,使误码逐渐减少,当在一定的观察时间内,使误码个数少于某一要求时,即达到系统所要求的误码率。4、 在稳定工作一段时间后,从R点断开光端机的连接器,用光纤测试线连接R点与光功率计,此时测得光功率为Pmin,即为光接收机的最小可接收光功率。按式(4.8)计算用dBm表示的灵敏度PR。例如,测得Pmin=9.3nW,则。在灵敏度测试时,一定要注意测试时间的长短。误码率是一个统计平均的参数,为了确定测试时间,我们将之写成为: (7.1)式中m是误码个数,fb是系统码速,t是测试时间。由上式可知,在码速确定的情况下,只要在某一定的测试时间内所记录的误码个数少于某一数值,就可以表示出要求的误码率。其最小测试时间应是能检测到误码个数为1(无误码的情况除外)的时间,即(7.1)式中m=1时所得到的测试时间,它可以表示为: (7.2)由上式可见,最小测试时间与码速和误码率有关。各类系统误码率不同时,光接收机灵敏度测试的最小时间t如表7-1所示。表7-1 灵敏度测量的最小