《EDA大作业实验报告.pdf》由会员分享,可在线阅读,更多相关《EDA大作业实验报告.pdf(8页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。
1、一、选题目的一、选题目的大二学过数电之后大三接触 EDA 数电逻辑设计,老师上课主讲 EDA 中的 VHDL语言设计部分,上课听讲感觉认真编写程序,借助程序调试,功能/时序的波形仿真,结果应该不会有太偏的问题,个人感觉关键是在FPGA 板上下载运行最好是现象明显直观,涉及的运算单元少的实验,所以我选择做流水灯,感觉老师布置的流水灯的题目要求太多了,我就算自定义一个流水灯吧,希望徐老师不要怪我能力有限。二、设计目标二、设计目标设计能让一排灯(8 只)自动改变显示花样的控制系统。可将实验板上的一排发光二极管作为彩灯用。八个彩灯的变化方式有 8 种,分别实现1:从右向左灭灯2:从左向右灭灯3:从右向
2、左亮灯4:从左向右亮灯5:从两侧向中间灭灯(两侧各一个)6:从两侧向中间灭灯(两侧各两个)7:从右侧向左侧亮灯(一侧两个)8:交叉闪烁按照实际生活中常见的流水灯变化,设计的这 8 种花形变化实现自动循环变化。三、实现方案(包括原理框图和三、实现方案(包括原理框图和 VHDLVHDL 设计流程图)设计流程图)脉冲信号顺序脉冲译码逻辑电路流水的闪烁四、设计过程(包括关键模块的仿真结果)VHDLlibrary IEEE;use IEEE.STD_LOGIC_1164.all;useIEEE.STD_LOGIC_unsigned.all;entity led1 isport(sysclk:in std
3、_logic;dout:out std_logic_vector(7downto 0);end led1;architecturejgt of led1 issignalcnt:std_logic_vector(7 downto 0);-variable count:integer RANGE 0 TO 7;signal count:std_logic_vector(5 DOWNTO 0);beginprocess(sysclk)beginif(sysclkevent and sysclk=1)thencnt=cnt+1;if(cnt=11110111)thencnt=00000000;cou
4、ntdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutnull;end case;end process;endjgt;五、遇到问题及解决方法五、遇到问题及解决方法六、实现结果六、实现结果七、编程调试七、编程调试八、对该课程的实施意见及建议八、对该课程的实施意见及建议我们大三学期开始学习VHDL语言,通过本次设计明白了VHDL语言的实用性,同时对 QuartusII 有了进一步的了解。虽然在设计过程种遇到了许多麻烦,比如语言编写的错误,思路想法的偏离.但通过问同学,老师,以及上网了解后最终还是纠正了这些错误。不过通过不断的检查和咨询,最终还是编写成功了。也通过本次彩灯设计让我知道了日常生活种各种花样灯的工作模式,希望能够通过接下来的学习,自己能够设计出生活中实用的样式灯。