MatlabSimulink和EDA仿真工具.ppt

上传人:wuy****n92 文档编号:70799922 上传时间:2023-01-28 格式:PPT 页数:89 大小:1.65MB
返回 下载 相关 举报
MatlabSimulink和EDA仿真工具.ppt_第1页
第1页 / 共89页
MatlabSimulink和EDA仿真工具.ppt_第2页
第2页 / 共89页
点击查看更多>>
资源描述

《MatlabSimulink和EDA仿真工具.ppt》由会员分享,可在线阅读,更多相关《MatlabSimulink和EDA仿真工具.ppt(89页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。

1、Matlab/Simulink和和EDA仿真工具仿真工具ModelSimLinksMentor Graphics Simulators ModelSimCadence HDL simulator Incisive 仿真仿真功能仿真(前仿真)功能仿真(前仿真)验证电路功能是否符合设计要求,不考虑电路验证电路功能是否符合设计要求,不考虑电路的门延迟的门延迟综合后仿真综合后仿真验证电路结构是否符合设计要求,估算电路的验证电路结构是否符合设计要求,估算电路的门延迟,门延迟,不考虑布线的延迟不考虑布线的延迟布局布线后仿真(时序仿真)布局布线后仿真(时序仿真)验证是否存在时序违规。电路已经映射到具体验证是

2、否存在时序违规。电路已经映射到具体的工艺环境,综合考虑电路的门延迟和路径延的工艺环境,综合考虑电路的门延迟和路径延迟迟设置断点单步运行查看当前信号值从仿真波形回溯源代码ModelSim仿真仿真ModelSim的安装的安装1.运行setup,安装程序。选择“Full product”选项。当询问security key的时候,选择 NO。当看见“License Wizard”对话框时候,选择“close”。2.运行keygen,生成license.dat,把它拷贝到新建的 c:flexlm 目录中。3.设置环境变量:LM_LICENSE_FILE=c:flexlmlicense.dat (选择“

3、我的电脑”按右键,选“属性”,再选“高级”,可以看到环境变量按钮)4.运行ModelSim,OKModelSim版本版本ModelSim AEAltera OEM 版,功能有限,速度较慢。有厂版,功能有限,速度较慢。有厂家仿真库家仿真库ModelSim PEModelSim SE专业版,专业版,性能最强性能最强。Altera和和ModelSimModelSim 的版本的版本ModelSim AE 要有要有LicenseModelSim AE Free发行速度跟不上发行速度跟不上SE 版和版和Quartus 的的速度速度ModelSim SE 不带不带FPGA/CPLD厂家的仿真库厂家的仿真库

4、ModelSim版本版本如用于Matlab/Simulink协同仿真选用ModelSim 6.16.3如选用ModelSim AE 可以用可以用 相应相应ModelSim Se的的 License.例如例如:最新版本的最新版本的ModelSim AE 6.4 可以使用可以使用ModelSim Se 6.4的的LicenseAltera的仿真库的仿真库仿真库仿真库IP Core 仿真库仿真库如果设计中包含了如果设计中包含了MegaWizard 生成的生成的IP Core 或或直接调用了直接调用了LPM,则必须制定则必须制定Altera 仿真库仿真库器件族仿真库器件族仿真库Altera仿真库仿真库

5、为为ModelSim SE添加添加Altera的仿真库三种方法的仿真库三种方法 1.从从ModelSim AE版中复制版中复制Altera的仿真库的仿真库 1安装安装ModelSim AE版版2在在ModelSim AE的安装目录下的的安装目录下的Altera目录目录就是所要的仿真库就是所要的仿真库Altera仿真库仿真库2 从从Altera 官方网站下载预编译的仿真库官方网站下载预编译的仿真库 http:/ 中提取中提取3.从从Quartus 中提取中提取1.1.改变工作目录到存放仿真库的目录下改变工作目录到存放仿真库的目录下2.2.创建新的库创建新的库3.3.编译库编译库Altera仿真库

6、仿真库-从从Quartus 中提取中提取编译库编译库对新创建的库进行编译对新创建的库进行编译选择源文件:选择源文件:Quartus安装目录安装目录edasim_lib220pack.vhd altera_mf_components.vhd再再选择编译余下的选择编译余下的6 6个文件个文件 Altera仿真库仿真库-从从Quartus 中提取中提取还可以再编译其他器件系列的库还可以再编译其他器件系列的库修改修改ModelSim 安装目录下的安装目录下的ModelSim.ini文件只读属性为可写,以便文件只读属性为可写,以便记录仿真库的路径及映射关系记录仿真库的路径及映射关系ModelSim用户界

7、面用户界面库文件视图库文件视图命令控制台命令控制台ModelSim 设计加设计加载前的提示符载前的提示符VSIM 设计加载后设计加载后的提示符的提示符ModelSim基本基本仿真步骤仿真步骤1.建立库建立库vlib 缺省值是缺省值是 work工作库工作库2.映射库到物理目录映射库到物理目录vmap 例例 vmap work work 3.编译源代码编译源代码vcom.vhd .vhdvlog .v.v4.启动仿真器启动仿真器vsim top_entity5.添加信号到添加信号到Wave窗窗add wave/*6.添加激励添加激励,运行仿真运行仿真force run 在在Matlab/Simul

8、ink环境中仿真环境中仿真HDL DSP BuilderSIL(Quartus)用用DSP Builder 建模,生成建模,生成HDL,仿真,仿真用用DSP Builder将将HDL导入,仿真导入,仿真HIL(Quartus+JTAG+实验板实验板)ModelSim利用利用hdl coder生成的批命令和测试平台,然生成的批命令和测试平台,然后用后用Modelsim进行单独仿真进行单独仿真Matlab/Simulink和Modelsim协同仿真协同仿真Matlab/Simulink ModelSim仿真仿真Matlab Link ModelSimMATLAB 连接连接HDL仿真器仿真器MATL

9、AB test bench function wraps around and communicates with the HDL simulator during a test bench simulation session.MATLAB 连接连接HDL仿真器仿真器连接连接ModelSim流程例流程例modsimrand.mMatlab启动 server in shared memory mode:hdldaemonModelSim仿真matlabtb modsimrand-mfunc modsimrand_plot-rising/modsimrand/clk-socket portnum

10、modsimrand_plot.m function iport,tnext=modsimrand_plot(oport,tnow,portinfo)仿真仿真仿真结果可视化仿真结果可视化用用ModelSim 仿真例仿真例仿真数据来自仿真数据来自ModelSim,由由Matlab绘图绘图MATLAB连接连接ModelSim流程例流程例1.建立连接通道MATLAB1.hdldaemon(socket,端口号端口号)in TCP/IP socket mode端口号,端口号,0表示由系表示由系统分配可用端口统分配可用端口或或2 Hdldaemon in shared memory modeMATLAB

11、连接连接ModelSim流程例流程例2 从MATLAB环境启动ModelSim vsim 或 vsim(vsimdir,D:Modeltech_6.1fwin32)ModelSim exe具体安装路径具体安装路径MATLAB连接连接ModelSim流程例流程例3 设置MATLAB当前目录例 cd D:MATLABtoolboxedalinkextensionsmodelsimmodelsimdemos 该目录下有modsimrand_plot.m文件 设置MODELSIM当前目录例 cd D:MATLABtoolboxedalinkextensionsmodelsimmodelsimdemos

12、vhdlmodsimrand 该目录下有VHDL文件MATLAB连接连接ModelSim流程例流程例4 执行ModelSim命令 vlib work 创建工作库vmap work work 映射工作库到物理目录库到物理目录vcom modsimrand 选择一个择一个HDL文件进行编译文件进行编译 MATLAB连接连接ModelSim流程例流程例5关联HDL设计与MATLAB函数,执行ModelSim命令 vsimmatlab xxx xxx为设计名例 vsimmatlab modsimrand6加载仿真器,执行vsim命令matlabtb xxx -mfunc yyy-rising zzz-

13、socket 端口号xxx为实例名,yyy为m函数名,zzz为时钟激励信号或 matlabtb xxx -mfunc yyy-rising zzz例:matlabtb modsimrand-mfunc modsimrand_plot-rising/modsimrand/clkMATLAB连接连接ModelSim流程例流程例7执行Vsim命令,输入激励信号,运行仿真。输入激励信号,运行仿真。例例force/modsimrand/clk 0 0 ns,1 5 ns-repeat 10 nsforce/modsimrand/clk_en 1force/modsimrand/reset 1 0,0 5

14、0 nsrun 80000MATLAB连接连接ModelSim流程例流程例在ModelSim仿真过程中,MATLAB 绘制输出信号MATLAB连接连接ModelSim流程例流程例8 重新仿真ModelSim:restart 重复步骤重复步骤7,输入激励信号,运行仿真。输入激励信号,运行仿真。MATLAB连接连接ModelSim流程例流程例9 结束仿真结束仿真ModelSimquit 退出仿真quit 结束ModelSimMatlab hdldaemon(kill)关闭连接Simulink Link ModelSimSimulink 连接连接 HDL 仿真器仿真器 Simulink 与与HDL仿

15、真器协同仿真流程仿真器协同仿真流程 1.ModelSim建库编译2.Simulink建模使用/配置协同仿真模块Matlab建立连接3.ModelSim加载仿真器4.Simulink运行仿真使用使用Simulink 协同仿真模块协同仿真模块Simulink 建模建模配置协同仿真模块配置协同仿真模块-端口设置端口设置端口名称与ModelSim信号命名相同,删除不合适的端口对输出端口设采样时间配置协同仿真模块配置协同仿真模块-端口设置端口设置自动填入端口信息:在modelsim中关联:vsimulink 实体名在simulink 协同仿真模块中删除已有端口,点击 Auto Fill删除不必要的端口,

16、如clk(clk信息应在clocks选项卡中设置)配置协同仿真模块配置协同仿真模块共享内存连接共享内存连接配置协同仿真模块配置协同仿真模块socket连接连接配置协同仿真模块配置协同仿真模块 HDL主时钟端口时钟主时钟端口时钟端口名称与端口名称与ModelSim信号命名信号命名相同。相同。设置周期,设置周期,输出端口的采样时输出端口的采样时间应与此相同。间应与此相同。如果使用如果使用Simulink仿真时钟,则不需仿真时钟,则不需要添加时钟端口要添加时钟端口配置协同仿真模块配置协同仿真模块时间比例时间比例时间比例设置有两种方式时间比例设置有两种方式相对时间相对时间Tick真实时间真实时间s时间

17、比例设置时间比例设置-相对时间相对时间Tick时间比例设置时间比例设置-相对时间相对时间Tick求求解解器器设设置置模模块块设设置置时间比例设置时间比例设置-相对时间相对时间Tick相对时间仿真相对时间仿真 例例48000+2000=50000 tick,其中有其中有5000个个clock时间比例设置时间比例设置-真实时间真实时间S时钟周期=10ns总的仿真时间=50us求求解解器器设设置置模模块块设设置置时间比例设置时间比例设置-真实时间真实时间10e-9=10ns50000 ns=50us=50 x10-6 s,其中有其中有5000个个clock真实时间真实时间 例例真实时间仿真真实时间仿

18、真 例例配置协同仿真模块配置协同仿真模块脚本命令脚本命令例例1-modsimrand-建模建模 例例1-modsimrand-模块端口模块端口例例1-modsimrand-模块时钟端口模块时钟端口例例1-modsimrand-模块时钟比例模块时钟比例例例1-modsimrand-模块连接模块连接例例1-modsimrand-模块脚本模块脚本求解器设置求解器设置例例1-modsimrand-modelsim命令命令设置工作目录、建库、编译等按设置工作目录、建库、编译等按常规执行常规执行.启动仿真器启动仿真器:vsimulink work.modsimrandadd wave sim:/modsi

19、mrand/*例例1-modsimrand-HDL仿真仿真例例1-modsimrand-simulink仿真仿真例例2-Simulink 建模建模Simulink ModelSim 协同仿真流程协同仿真流程1.Matlab 启动启动LinkHdldaemon 或或hdldaemon(socket,端口号端口号)2.ModelSim 1.指定目录、编译等指定目录、编译等2.加载仿真器 vsimulink work.inverter3.Simulink1.运行仿真运行仿真仿真输出仿真输出Simulink ModelSim 多个多个HDL协同仿真协同仿真仿真模式仿真模式基于采样的仿真在每个采样时刻,

20、进行一次仿真处理基于帧的仿真将多个采样时间的顺序输入排列成为帧(矢量)然后在一个采样时间里进行仿真处理,Simulink ModelSim 协同仿真协同仿真基于帧的仿真可以节省仿真时间,也能使仿真行为更精确HDL 协同仿真模块支持处理单通道基于帧的信号,不需要对HDL协同仿真模块做专门的帧处理设置.采用基于采样或基于帧,不影响HDL 协同仿真模块的行为,只影响Simulink,源信号的“sample per frame”属性用于设置帧的尺寸,该属性值为1即是基于采样模式,该属性值为大于1的整数即是基于帧模式Simulink ModelSim 协同仿真协同仿真基于帧的仿真 例frame_filt

21、er_cosim.mdl基于帧的仿真基于帧的仿真t=clock;sim(gcs);etime(clock,t)ans=1.3610基于采样的仿真基于采样的仿真t=clock;sim(gcs);etime(clock,t)ans=1.8000Simulink ModelSim 协同仿真协同仿真VCDVCDvalue change dump(VCD)将协同仿真时的数据转储到文件中,供脱机验将协同仿真时的数据转储到文件中,供脱机验证等使用证等使用Simulink ModelSim 协同仿真协同仿真VCDModelSim 将将VCD转换格式转换格式ModelSim的的vcd2wlf 工具将工具将 VC

22、D 文文件转换为件转换为WLF 文件文件例例VSim n vcd2wlf x.vcd x.wlf 转换格式转换格式VSim n vsim-view x.wlf 加载文件加载文件VSim n add wave x:/*显示波形显示波形Simulink ModelSim 协同仿真协同仿真VCD转波形在转波形在ModelSim中显示中显示Simulink ModelSim 协同仿真协同仿真自动生成测试平台自动生成测试平台流程流程1.Simulink 仿真仿真2.自动生成自动生成HDL代码代码3.自动生成测试平台自动生成测试平台4.启动启动hdldaemon连接连接5.协同仿真协同仿真,比对结果比对结

23、果1.用用Simulink 仿真源模型仿真源模型2.用用HDL仿真器仿真协同仿真模块仿真器仿真协同仿真模块Simulink ModelSim 协同仿真协同仿真测试平台测试平台自动生成协同仿真模型,自动生成协同仿真模型,搭建测试平台搭建测试平台Simulink ModelSim 协同仿真协同仿真源模型源模型仿真测试模型仿真测试模型Simulink ModelSim 协同仿真协同仿真仿真测试模型仿真测试模型Simulink仿真仿真HDL仿真仿真源信号路由源信号路由两个仿真器使两个仿真器使用相同的信号用相同的信号目标信号路由目标信号路由比对两种仿真结果比对两种仿真结果批命批命令按令按钮钮源信号路由源

24、信号路由目标信号路由目标信号路由仿真结果比对仿真结果比对批命令按钮批命令按钮OpenFcn cd hdlsrc,vsim(tclstart,gm_AirFlowCtrl_mq_tcl),cd.cd hdlsrc,vsim(rundir,.,vsimdir,D:Modeltech_6.1fwin32,tclstart,gm_AirFlowCtrl_mq_tcl),cd.更为完整的批命令更为完整的批命令指定工作目录。该目录下有源程序、指定工作目录。该目录下有源程序、conpile_and_lauch.tcl编译命令及编译命令及 do命令命令指定仿真器路径指定仿真器路径仿真结果比对仿真结果比对HDL的输出有的输出有1个时钟周期的延迟个时钟周期的延迟用用Modelsim单独仿真流程单独仿真流程1.代码生成2.生成测试平台3.启动Modelsim,改变目录到hdlsrc4.执行测试平台编译批命令5.执行测试平台仿真批命令用用Modelsim单独仿真单独仿真 例例生成测试平台生成测试平台执行测试平台编译批命令执行测试平台编译批命令执行测试平台仿真批命令执行测试平台仿真批命令查看仿真结果查看仿真结果命令窗命令窗wave窗窗wave窗窗Modelsim仿真的输入激励、仿真时间的长短仿真的输入激励、仿真时间的长短与与Simulink中的输入与仿真时间设置相同中的输入与仿真时间设置相同命令窗命令窗

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 大学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号© 2020-2023 www.taowenge.com 淘文阁