电子系统设计实践.ppt

上传人:豆**** 文档编号:65726383 上传时间:2022-12-06 格式:PPT 页数:40 大小:835.50KB
返回 下载 相关 举报
电子系统设计实践.ppt_第1页
第1页 / 共40页
电子系统设计实践.ppt_第2页
第2页 / 共40页
点击查看更多>>
资源描述

《电子系统设计实践.ppt》由会员分享,可在线阅读,更多相关《电子系统设计实践.ppt(40页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。

1、电子系统设计实践 Still waters run deep.流静水深流静水深,人静心深人静心深 Where there is life,there is hope。有生命必有希望。有生命必有希望13.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技5个信号个信号R、G、B:三基色信号:三基色信号HS:行同步信号:行同步信号VS:场同步信号:场同步信号VGA工业标准要求的频率:工业标准要求的频率:时钟频率时钟频率(Clockfrequency):25.175MHz(像素输出的频率像素输出的频率)行频行频(Linefrequency):31469Hz场频场频(Fi

2、eldfrequency):59.94Hz(每秒图像刷新频率每秒图像刷新频率)13.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技图图13-1VGA行扫描、场扫描时序示意图行扫描、场扫描时序示意图13.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技表表13-1行扫描时序要求:行扫描时序要求:(单位:像素,即输出一个像素单位:像素,即输出一个像素Pixel的时间间隔的时间间隔)行同步头行同步头行图像行图像行周期行周期对应位置对应位置TfTaTbTcTdTeTg时间时间(Pixels)8964086408800表表13-1行扫描时

3、序要求:行扫描时序要求:(单位:像素,即输出一个像素单位:像素,即输出一个像素Pixel的时间间隔的时间间隔)行同步头行同步头行图像行图像行周期行周期对应位置对应位置TfTaTbTcTdTeTg时间时间(Lines)22258480852513.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技图图13-2HS和和VS的时序图的时序图13.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技图图13-3例例13-7实现电路实现电路13.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技表表13-3颜色编码:

4、颜色编码:表表13-4彩条信号发生器彩条信号发生器3 3种显示模式种显示模式颜色颜色黑黑蓝蓝红红品品绿绿青青黄黄白白R00001111G00110011B01010101 1横彩条横彩条1:白黄青绿品红蓝黑:白黄青绿品红蓝黑 2:黑蓝红品绿青黄白黑蓝红品绿青黄白2竖彩条竖彩条1:白黄青绿品红蓝黑:白黄青绿品红蓝黑 2:黑蓝红品绿青黄白黑蓝红品绿青黄白3棋盘格棋盘格1:棋盘格显示模式:棋盘格显示模式1 2:棋盘格显示模式棋盘格显示模式213.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技【例【例13-1】LIBRARY IEEE;-VGA显示器显示器 彩条彩条

5、发生器发生器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COLOR IS PORT(CLK,MD:IN STD_LOGIC;HS,VS,R,G,B:OUT STD_LOGIC );-行场同步行场同步/红,绿,兰红,绿,兰END COLOR;ARCHITECTURE behav OF COLOR IS SIGNAL HS1,VS1,FCLK,CCLK :STD_LOGIC;SIGNAL MMD:STD_LOGIC_VECTOR(1 DOWNTO 0);-方式选择方式选择 SIGNAL FS:STD_LOGI

6、C_VECTOR(3 DOWNTO 0);SIGNAL CC:STD_LOGIC_VECTOR(4 DOWNTO 0);-行同步行同步/横彩条生成横彩条生成 SIGNAL LL:STD_LOGIC_VECTOR(8 DOWNTO 0);-场同步场同步/竖彩条生成竖彩条生成 SIGNAL GRBX:STD_LOGIC_VECTOR(3 DOWNTO 1);-X横彩条横彩条 SIGNAL GRBY:STD_LOGIC_VECTOR(3 DOWNTO 1);-Y竖彩条竖彩条 SIGNAL GRBP:STD_LOGIC_VECTOR(3 DOWNTO 1);SIGNAL GRB :STD_LOGIC_

7、VECTOR(3 DOWNTO 1);BEGIN (接下页)(接下页)K KX康芯科技康芯科技GRB(2)=(GRBP(2)XOR MD)AND HS1 AND VS1;GRB(3)=(GRBP(3)XOR MD)AND HS1 AND VS1;GRB(1)=(GRBP(1)XOR MD)AND HS1 AND VS1;PROCESS(MD)BEGIN IF MDEVENT AND MD=0 THEN IF MMD=10 THEN MMD=00;ELSE MMD=MMD+1;END IF;-三种模式三种模式 END IF;END PROCESS;PROCESS(MMD)BEGIN IF MMD

8、=00 THEN GRBP=GRBX;-选择横彩条选择横彩条 ELSIF MMD=01 THEN GRBP=GRBY;-选择竖彩条选择竖彩条 ELSIF MMD=10 THEN GRBP=GRBX XOR GRBY;-产生棋盘格产生棋盘格 ELSE GRBP=000;END IF;END PROCESS;PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN-13MHz 13分频分频 IF FS=13 THEN FS=0000;ELSE FS=(FS+1);END IF;END IF;END PROCESS;FCLK=FS(3);CCLK=CC(4);PROC

9、ESS(FCLK)BEGIN (接下页)(接下页)K KX康芯科技康芯科技IF FCLKEVENT AND FCLK=1 THEN IF CC=29 THEN CC=00000;ELSE CC=CC+1;END IF;END IF;END PROCESS;PROCESS(CCLK)BEGIN IF CCLKEVENT AND CCLK=0 THEN IF LL=481 THEN LL=000000000;ELSE LL 23 THEN HS1=0;-行同步行同步 ELSE HS1 479 THEN VS1=0;-场同步场同步 ELSE VS1=1;END IF;END PROCESS;PROC

10、ESS(CC,LL)BEGIN IF CC 3 THEN GRBX=111;-横彩条横彩条 ELSIF CC 6 THEN GRBX=110;ELSIF CC 9 THEN GRBX=101;ELSIF CC 13 THEN GRBX=100;ELSIF CC 15 THEN GRBX=011;(接下页)(接下页)K KX康芯科技康芯科技ELSIF CC 18 THEN GRBX=010;ELSIF CC 21 THEN GRBX=001;ELSE GRBX=000;END IF;IF LL 60 THEN GRBY=111;-竖彩条竖彩条 ELSIF LL 130 THEN GRBY=110

11、;ELSIF LL 180 THEN GRBY=101;ELSIF LL 240 THEN GRBY=100;ELSIF LL 300 THEN GRBY=011;ELSIF LL 360 THEN GRBY=010;ELSIF LL 420 THEN GRBY=001;ELSE GRBY=000;END IF;END PROCESS;HS=HS1;VS=VS1;R=GRB(2);G=GRB(3);B=GRB(1);ENDbehav;13.2 VGA图象显示控制器设计图象显示控制器设计 K KX康芯科技康芯科技图图13-4VGA图像控制器框图图像控制器框图K KX康芯科技康芯科技【例【例13-

12、2】LIBRARY ieee;-图象显示顶层程序图象显示顶层程序USE ieee.std_logic_1164.all;ENTITY img IS port(clk50MHz:IN STD_LOGIC;hs,vs,r,g,b:OUT STD_LOGIC);END img;ARCHITECTURE modelstru OF img IS component vga640480 -VGA显示控制模块显示控制模块PORT(clk:IN STD_LOGIC;rgbin:IN STD_LOGIC_VECTOR(2 downto 0);hs,vs,r,g,b:OUT STD_LOGIC;hcntout,v

13、cntout:OUT STD_LOGIC_VECTOR(9 downto 0);end component;component imgrom -图象数据图象数据ROM,数据线,数据线3位;地址线位;地址线13位位PORT(inclock:IN STD_LOGIC;address:IN STD_LOGIC_VECTOR(11 downto 0);q:OUT STD_LOGIC_VECTOR(2 downto 0);end component;signal rgb:STD_LOGIC_VECTOR(2 downto 0);signal clk25MHz:std_logic;signal romad

14、dr:STD_LOGIC_VECTOR(11 downto 0);signal hpos,vpos:std_logic_vector(9 downto 0);BEGIN romaddr=vpos(5 downto 0)&hpos(5 downto 0);process(clk50MHz)beginif clk50MHzevent and clk50MHz=1 then clk25MHz clk25MHz,rgbin=rgb,hs=hs,vs=vs,r=r,g=g,b=b,hcntout=hpos,vcntout=vpos);i_rom:imgrom PORT MAP(inclock=clk25

15、MHz,address=romaddr,q=rgb);END;K KX康芯科技康芯科技【例【例13-3】LIBRARY IEEEuse IEEE.std_logic_1164.all;useIEEE.STD_LOGIC_UNSIGNED.ALL;entity vga640480 isport(clk:in STD_LOGIC;hs,vs,r,g,b:out STD_LOGIC;rgbin:in std_logic_vector(2 downto 0);hcntout,vcntout:out std_logic_vector(9 downto 0);end vga640480;architect

16、ure ONE of vga640480 issignal hcnt,vcnt:std_logic_vector(9 downto 0);beginhcntout=hcnt;vcntout=vcnt;process(clk)beginif(rising_edge(clk)thenif(hcnt 800)thenhcnt=hcnt+1;else hcnt 0);end if;end if;end process;process(clk)beginif(rising_edge(clk)thenif(hcnt=640+8)thenif(vcnt 525)thenvcnt=vcnt+1;else vc

17、nt 0);end if;(接下页)(接下页)K KX康芯科技康芯科技 end if;end if;end process;process(clk)beginif(rising_edge(clk)thenif(hcnt=640+8+8)and(hcnt640+8+8+96)then hs=0;else hs=480+8+2)and(vcnt480+8+2+2)then vs=0;else vs=1;end if;end process;process(clk)beginif(rising_edge(clk)thenif(hcnt640 and vcnt480)thenr=rgbin(2);g=

18、rgbin(1);b=rgbin(0);else r=0;g=0;b=0;end if;end if;end process;end ONE;13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技1 1、步进电机细分驱动原理、步进电机细分驱动原理 2 2、步距细分的系统构成、步距细分的系统构成 图图13-5四相步进电机四相步进电机8细分电流波形细分电流波形13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技2 2、步距细分的系统构成、步距细分的系统构成 图图13-6步进电机细分驱动电路结构图步进电机细分驱动电路结构图13.3 步进电机细分驱动控制步

19、进电机细分驱动控制 K KX康芯科技康芯科技2 2、步距细分的系统构成、步距细分的系统构成 图图13-7步进电机步进电机PWM细分控制控制电路图细分控制控制电路图13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技2 2、步距细分的系统构成、步距细分的系统构成 图图13-8图图13-7中的中的cmp3模块模块13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技2 2、步距细分的系统构成、步距细分的系统构成 图图13-9PWM波形波形ROM存储器存储器13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技3 3、细分电流信号的

20、实现、细分电流信号的实现 4 4、细分驱动性能的改善、细分驱动性能的改善 5 5、细工作时序分析、细工作时序分析 13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技图图13-10步进电机步进电机PWM仿真波形图(注意,图中仿真波形图(注意,图中clk与与clk5交换)交换)13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技图图13-11展开后的步进电机展开后的步进电机PWM仿真波形图(注意,图中仿真波形图(注意,图中clk与与clk5交换)交换)13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技6 6、硬件验证、硬件

21、验证 【例【例13-4】-元件元件CNT8LIBRARY IEEE;-8进制计数器进制计数器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT8 IS PORT(CLK:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END CNT8;ARCHITECTURE behav OF CNT8 IS SIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK)BEGIN IF CLKEVENT AND CL

22、K=1 THEN CQI=CQI+1;END IF;END PROCESS;CQ D D D D NULL;END CASE;END PROCESS;PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN CQ=A;END IF;END PROCESS;END;13.3 步进电机细分驱动控制步进电机细分驱动控制 K KX康芯科技康芯科技【例【例13-6】-元件元件CNT24LIBRARY IEEE;-24进制计数器进制计数器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CN

23、T24 IS PORT(CLK,EN,U_D:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(4 DOWNTO 0);END CNT24;ARCHITECTURE behav OF CNT24 IS SIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK,EN,U_D)BEGIN IF EN=1 THEN CQI=CQI;ELSIF CLKEVENT AND CLK=1 THEN IF U_D=1 THEN CQI=CQI+1;ELSE CQI=CQI-1;END IF;END IF;END PROCESS;

24、CQ(4 DOWNTO 0)D D D D NULL;END CASE;END PROCESS;PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 then CQ=CQ+1;END IF;END PROCESS;DSPY=CQ;END;13.4 直流电机的直流电机的PWM控制控制 K KX康芯科技康芯科技【例【例13-9】LIBRARY IEEE;-4进制计数器进制计数器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT5 IS PORT(CLK:IN STD_LOGIC;AA

25、:OUT STD_LOGIC_VECTOR(4 DOWNTO 1);END CNT5;ARCHITECTURE behav OF CNT5 IS SIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 then CQI=CQI+1;END IF;END PROCESS;AA=CQI(4 DOWNTO 1);END behav;习习 题题 K KX康芯科技康芯科技13-1.详述详述VGA显示控制原理。显示控制原理。13-2.试在通用异步收发器试在通用异步收发器UART中加入中加入F

26、IFO,以缓冲接收,发生数据。,以缓冲接收,发生数据。13-3.把把VGA控控制制器器模模块块与与UART模模块块连连接接起起来来,实实现现VGA显显示示图图像像的的动动态更新。态更新。13-4.简简述述步步进进电电机机转转角角细细分分的的工工作作原原理理,有有哪哪些些方方法法可可以以实实现现步步进进转转角角细分控制?细分控制?13-5.步步进进电电机机相相电电流流的的细细分分与与步步进进转转角角细细分分是是一一回回事事吗吗?有有何何区区别别?要提高步进电机转角细分的控制精度,可以采取哪些方法?要提高步进电机转角细分的控制精度,可以采取哪些方法?习习 题题 K KX康芯科技康芯科技13-6.要

27、使步进电机按预先设定的角度转动,控制电路应如何设计?要使步进电机按预先设定的角度转动,控制电路应如何设计?13-7.有有哪哪些些方方法法可可以以对对直直流流电电机机进进行行调调速速控控制制?如如何何用用FPGAFPGA对对直直流流电电机机进行调速控制?进行调速控制?13-8.若若要要使使电电机机转转速速设设置置更更精精确确,可可以以采采取取哪哪些些措措施施,控控制制电电路路应应如如何何修改?修改?13-9.要要使使直直流流电电机机精精确确地地达达到到设设定定转转速速,可可以以通通过过检检测测电电机机的的转转速速,采采用用速速度度闭闭环环控控制制。如如何何通通过过实实验验台台上上的的光光电电检检

28、测测装装置置检检测测电电机机转转速速,如如何用何用FPGAFPGA实现速度闭环控制?实现速度闭环控制?实实 验验 与与 设设 计计 K KX康芯科技康芯科技13-1.VGA彩条信号显示控制器设计彩条信号显示控制器设计(1)实验目的:实验目的:学习学习VGA图像显示控制器的设计。图像显示控制器的设计。(2)实实验验内内容容1:根根据据图图13-3和和程程序序13-1,完完成成VGA彩彩条条信信号号显显示示的的验验证证性性实实验验。根根据据图图13-3引引脚脚锁锁定定:R、G、B分分别别接接PIO60、PIO61、PIO63;HS、VS分分别别接接PIO64、PIO65;CLK接接clock9(1

29、3MHz),),MD接接PIO0,控制显示模式。,控制显示模式。接接上上VGA显显示示器器,选选择择模模式式5,下下载载COLOR.SOF;控控制制键键1,观观察察显显示示器器工工作作(如果显示不正常,将(如果显示不正常,将GW48系统右侧开关拨以下,最后再拨回到系统右侧开关拨以下,最后再拨回到“TO_MCU”)。)。(3)实验内容实验内容2:设计可显示横彩条与棋盘格相间的:设计可显示横彩条与棋盘格相间的VGA彩条信号发生器。彩条信号发生器。(4)实验内容实验内容3:设计可显示英语字母的:设计可显示英语字母的VGA信号发生器电路。信号发生器电路。(5)实验内容实验内容4:设计可显示移动彩色斑点

30、的:设计可显示移动彩色斑点的VGA信号发生器信号发生器电路。电路。实实 验验 与与 设设 计计 K KX康芯科技康芯科技13-2.VGA图像显示控制器设计图像显示控制器设计(1)实实验验内内容容1:根根据据图图13-4和和程程序序例例13-2/3,设设计计与与生生成成图图象象数数据据;根根据据例例13-2中中imgrom元件的接口,定制放置图象数据的元件的接口,定制放置图象数据的ROM。(2)实实验验内内容容2:硬硬件件验验证证例例13-2/3,选选择择模模式式5,引引脚脚连连接接方方式式仍仍同同图图13-4,只只是是时时钟钟输输入入clk50MHz接接clock0,选选择择频频率率50MHz

31、的的时时钟钟信信号号。在在EDA系系统统上上接接上上VGA显示器,下载后观察图形显示情况。显示器,下载后观察图形显示情况。(3)实验内容实验内容3:为此设计增加一个键,控制输出图象的正色与补色。为此设计增加一个键,控制输出图象的正色与补色。(4)实实验验内内容容4:为为了了显显示示更更大大的的图图象象,用用外外部部ROM取取代代FPGA的的内内部部ROM,即即imgrom元元件件,电电路路结结构构参参考考图图13-4,引引脚脚锁锁定定参参考考电电路路结结构构图图NO.5图图中中的的ROM27C020/27C040与与FPGA的的引引脚脚连连接接情情况况。示示例例程程序序可可下下载载./VGA8

32、8/vgarom.sof,或或./VGAbb/vgarom.sof,clock0接接50MHz,GW48EDA系系统统左左下下角角的的拨拨码码开开关关的的“ROM使使能能”拨拨向向下下(如如果果显显示示不不正正常常,将将EDA系系统统右右侧侧开开关关拨拨以以下下,最最后后再再拨拨回回到到“TO_MCU”)。选选择择模模式式5,键键1控控制制图图象象的的正正色色与与补补色色显显示示。注注意意,实实验验结束后将拨码开关的结束后将拨码开关的“ROM使能使能”拨向上还原。拨向上还原。实实 验验 与与 设设 计计 K KX康芯科技康芯科技13-3.步进电机细分驱动控制实验步进电机细分驱动控制实验(1)实

33、验目的:实验目的:学习用学习用FPGA实现步进电机的驱动和细分控制。实现步进电机的驱动和细分控制。(2)实验内容实验内容1:完成以图完成以图13-7所示的步进电机控制电路的验证性实验。首先引脚锁定:所示的步进电机控制电路的验证性实验。首先引脚锁定:步步进进电电机机的的4个个相相:Ap、Bp、Cp、Dp(对对应应程程序序中中的的Y0、Y1、Y2、Y3)分分别别与与PIO65、PIO64、PIO63、PIO62(见(见GW48主系统左侧的标注)相接。主系统左侧的标注)相接。CLK0接接clock0,选选择择4Hz;CLK5接接clock5,选选择择32768Hz;S接接PIO6(键键7),控控制制

34、步步进进电电机机细细分分旋旋转转(1/8细细分分,2.25度度/步步),或或不不细细分分旋旋转转(18度度/步步);U_D接接PIO7(键(键8),控制旋转方向。),控制旋转方向。用用短短路路帽帽将将系系统统左左侧侧的的“步步进进允允许许(JM0)”短短路路(注注意意,电电机机实实验验结结束束后后,短短路路帽帽插插回回“禁止禁止”端!端!选择模式选择模式No.5,用用Quartus下载下载step_1c3中的中的step_a.sof到到EP1C3中,观察电机工作情况。中,观察电机工作情况。给出电机的驱动仿真波形,与示波器中观察到的电机控制波形进行比较。给出电机的驱动仿真波形,与示波器中观察到的

35、电机控制波形进行比较。实实 验验 与与 设设 计计 K KX康芯科技康芯科技13-3.步进电机细分驱动控制实验步进电机细分驱动控制实验(3)实实验验内内容容2:设设计计2个个电电路路:1、要要求求能能按按给给定定细细分分要要求求,采采用用PWM方方法法,用用FPGA对对步步进进电电机机转转角角进进行行细细分分控控制制(利利用用QuartusII的的EAB在在系系统统编编辑辑器器实实时时在在系系统统编编辑辑调调试试ROM3中中的的细细分分控控制制数数据据);2、用用FPGA实实现现对对步步进进电电机机的的匀匀加加速速和和匀匀减速控制。减速控制。(4)实实验验内内容容3:为为使使步步进进电电机机能

36、能平平稳稳地地运运行行,并并尽尽快快从从起起点点到到达达终终点点,步步进进电电机机应应按按照照以以下下控控制制方方式式运运行行:启启动动匀匀加加速速匀匀速速匀匀减减速速停停止止。当当给给定定终终点点位位置(转角)以后,试用置(转角)以后,试用FPGAFPGA实现此控制。实现此控制。(5)实实验验内内容容4:步步进进电电机机在在步步距距角角细细分分的的基基础础上上,试试通通过过修修改改控控制制电电路路对对步步距距角进一步细分。角进一步细分。实实 验验 与与 设设 计计 K KX康芯科技康芯科技13-4.直流电机直流电机PWM控制实验控制实验(1)实实验验目目的的:学学习习直直流流电电机机PWM的

37、的FPGA控控制制。掌掌握握PWM控控制制的的工工作作原原理理,对对直直流流电电机机进进行行速度控制、旋转方向控制、变速控制。速度控制、旋转方向控制、变速控制。(2)实验内容实验内容1:完成以图完成以图13-14所示的直流电机控制电路的验证性实验所示的直流电机控制电路的验证性实验。首先引脚锁定:。首先引脚锁定:直直流流电电机机模模块块中中的的MA2、MA1(对对应应程程序序中中的的Z、F)分分别别与与EP1C3的的PIO60/61相相接接,用用于于控控制制直直流流电电机机;测测直流电机转速的直流电机转速的MA-CNT端接端接PIO66,即,即CNTT端(见主系统左侧的标注);端(见主系统左侧的

38、标注);用用短短路路帽帽分分别别将将主主系系统统左左侧侧的的“直直流流允允许许(JM1)”和和“计计数数允允许许(JM2)”短短路路;CLK5接接clock5,选选择择32768Hz;F1HZ接接clock2,选择,选择1Hz,作为转速测量的频率计的门控时钟;,作为转速测量的频率计的门控时钟;键键1(PIO0,接接Z_F)控控制制旋旋转转方方向向;键键2(PIO1,D_STP)控控制制旋旋转转速速度度。连连续续按按动动此此键键时时,由由数数码码管管7显显示示0、1、2、3指示指示4个速度级别;转速由数码管个速度级别;转速由数码管4、3、2、1显示。显示。选择模式选择模式No.5,用用Quart

39、us下载下载step_1c3中的中的step_a.sof到到EP1C3中,观察电机工作情况。中,观察电机工作情况。给出电机的驱动仿真波形,与示波器中观察到的电机控制波形进行比较。给出电机的驱动仿真波形,与示波器中观察到的电机控制波形进行比较。(3)实验内容实验内容2:实现直流电机的闭环控制,旋转速度可设置。实现直流电机的闭环控制,旋转速度可设置。(4)实实验验内内容容3:说说明明图图13-14中中的的去去抖抖动动电电路路的的工工作作原原理理,为为了了加加强强去去抖抖动动效效果果,改改进进图图13-14中中的的去去抖抖动动电电路路和和工工作作时时钟钟频频率率的的选选择择,如如设设计计一一个个含含4个个D触触发发器器的的去去抖抖动动电电路路,实实测测它它的的性性能能。并说明此电路的工作时钟频率与被测信号频率的关系。并说明此电路的工作时钟频率与被测信号频率的关系。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 小学资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号© 2020-2023 www.taowenge.com 淘文阁