EDA课程设计-基于VHDL语言的定时器设计.doc

上传人:飞****2 文档编号:60101362 上传时间:2022-11-13 格式:DOC 页数:13 大小:643KB
返回 下载 相关 举报
EDA课程设计-基于VHDL语言的定时器设计.doc_第1页
第1页 / 共13页
EDA课程设计-基于VHDL语言的定时器设计.doc_第2页
第2页 / 共13页
点击查看更多>>
资源描述

《EDA课程设计-基于VHDL语言的定时器设计.doc》由会员分享,可在线阅读,更多相关《EDA课程设计-基于VHDL语言的定时器设计.doc(13页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。

1、 基于VHDL语言的定时器设计一、EDA技术简介1. 电子技术的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计

2、。CAE的主要功能是:原理图输人,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。2. EDA技术的基本特征 EDA代表了当今电子技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子技术。二 VHDL在定时器中的应用 传统的定时器硬件连接比较复杂,可靠性差,而且计时时间短,难以满足需要。本设计采用可编程芯片和VHDL语言

3、进行软硬件设计,不但可使硬件大为简化,而且稳定性也有明显提高。由于可编程芯片的频率精度可达到50 MHz,因而计时精度很高。完全可以满足用户的需要,使用也更为方便。三 .系统设计 11秒倒计数1. 系统设计框图 1Hz时钟信号 译码显示 分频器 七段共阴数码管显示1kHz时钟信号 图12. 减法器既实现11秒倒计时功能library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt10 isport (clk,rst,en : in std_logic; cq: out std_logic_v

4、ector(3 downto 0);count : out std_logic); end ;Architecture arch of cnt10 is begin process(clk, rst, en)Variable cqi: std_logic_vector(3 downto 0); beginIf rst= 1 then cqi:=1010;Elsif clkevent and clk=1 then If en=1 thenIf cqi 0000 then cqi:=cqi-1;Else cqi:=1010; end if; end if; end if;If cqi= 0000

5、then count = 1 ;Else count = 0;End if;Cq legdalegdalegdalegdalegdalegdalegdalegdalegdalegdalegda=; END CASE; END PROCESS; END; 仿真波形 图3 当输入信号为0000时,对应的输出为,即为七段数码管显示为0.共阴,高电平有效。 综合结果 图4 4. 分频器设计(实现1khz信号变为1hz信号)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;Entity fen is port

6、( clk:in std_logic; Q:out std_logic);End fen ;architecture one of fen is beginprocess(clk)variable count: integer range 0 to 999;variable clk1:std_logic;beginif clkevent and clk=1 thenif count=999 then clk1:=not clk1;count:=0; else count:=count+1;end if;end if;Qclk,q=s);u1: cnt10 port map (en=en,rst

7、=rst,clk=s,count=s1,cq=d);u3: cnt10 port map(en=en,rst=rst,clk=s1,count=co,cq=g);u4: del port map (key=d,ledga=xzout1);u5: del port map (key=g,ledga=xzout2);end one;仿真波形 图7综合结果; 图8四 心得体会: 这次的课程设计真的是一次很难忘的经历,可以用废寝忘食来形容觉得也不过分。从最开始安装软件说起,就遇到了困难,在安装完软件后需要破解,这个过程中就遇到了困难,怎么也不能破解成功。在破解了好几次后才意识到,原来license文件

8、改错了。在改正后终于可以学着去使用这款软件,具体什么仿真,生成电路图。 在具体设计中遇到的困难真的很多很多,曾好几次想有过放弃不做的想法。因为当时的心情真的很郁闷,总有种想把鼠标甚至电脑摔了的想法。但是当过一会,缓解下心情,在慢慢的做下去,就好多了。在将vhdl语言代码编译运行这一过程中,真的需要强大的耐心,与信心。如果不信心,就不能找出某些语法上的小错误,比如遗漏标点符号,某些地方写错字等等。如果没有强大的耐心,也不可能运行出正确的代码,因为一旦出现错误,有时,只改一次是不能完全清除错误的,很可能再运行2次,3次甚至更多次。最有挑战的是,调试一个程序差不多会弄一下午,因为不仅会有语法错误,也

9、会有很多逻辑错误,不能正确实现功能等等。这真的是一个艰难的过程。一定要沉住气,不可浮躁。一旦浮躁,就更不利于解决问题。当程序运行正确后,进行仿真波形也一样充满挑战。因为设置end time 和设置周期都是需要一定技巧的。一旦参数设计不合理,就不会出现正确的仿真波形,往往也会进行修改好多次才能出现仿真的波形。 在这次的课程设计中,真的收获了好多。遇到问题和室友一起商量,有时也会求助于她们的帮忙。体会到了人多力量大的好处。最主要的是更磨练了我做事要坚持到底,不能随便放弃。还有遇到问题,独立解决问题的能力,同时在这一过程中,也会翻阅一些书籍,学到了很多知识,这些都是最宝贵的财富,值得我去回味和珍惜。参考文献1朱正伟.EDA技术及应用.清华大学出版社.2005.2潘松.黄继业.EDA技术实用教程.第二版.科学出版社.北京.2004.

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号© 2020-2023 www.taowenge.com 淘文阁