《拓荆科技研究报告:国产薄膜沉积设备龙头_有望进入设备放量期.docx》由会员分享,可在线阅读,更多相关《拓荆科技研究报告:国产薄膜沉积设备龙头_有望进入设备放量期.docx(34页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。
1、拓荆科技研究报告:国产薄膜沉积设备龙头_有望进入设备放量期1. 国内半导体薄膜沉积设备龙头1.1. 专注半导体薄膜沉积设备拓荆科技专注的薄膜沉积设备领域系半导体晶圆制造三大核心设备种类之一,主要 产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和 次常压化学气相沉积(SACVD)设备三个产品系列,已广泛应用于国内晶圆厂 14nm 及以上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。公司成立于 2010 年;2011 年首台 12 英寸 PECVD 到中芯国际验证,于 2013 年通 过产品线测试,2014 年获得其首台量产机台 PF-300T 订
2、单,2015 年 PF-300T 在中 芯国际产线突破一万片;2016 年 ALD 设备、8 寸 PECVD 出厂到客户端,2017 年 首台 ALD 通过客户端 14nm 产业化验证;2019 年 SACVD 研制成功并出厂到客户 端;2022 年 4 月 20 日于科创板上市。 目前,公司是国内唯一一家产业化应用的集成电路 PECVD、SACVD 设备厂商,产 品已成功应用于中芯国际、华虹集团、长江存储、厦门联芯、燕东微电子等行业领 先集成电路制造企业产线,打破国际厂商对国内市场的垄断,与国际寡头直接竞争, 产品技术参数已达到国际同类设备水平。公司在研产品已发往某国际领先晶圆厂参 与其先进
3、制程工艺研发。1.2. 2021 年已实现盈利,产品有望放量PECVD 设备销量逐渐增加,2021 年实现盈利。公司营收稳健增长,盈利能力逐年 向好,2021 年已实现盈利。我们认为主要受益于半导体设备行业的快速发展,以及 公司实力不断受到认可有关。随着规模效应逐渐显现,公司毛利率已高于行业平均水平。2018-2020 年,公司处 于收入增长期,规模效应尚不明显;且在开拓新业务时,部分商务谈判中接受了优 惠的报价,因此毛利率水平相比同行业可比公司平均水平较低。随着公司技术水平 和市场地位的提升,议价能力、产品先进性逐渐提高,规模效应逐渐显现,2021 年 及 2022Q1,公司的毛利率高于同行
4、业可比公司平均水平。1.3. 管理团队技术背景出身,员工持股激发活力截至 2022 年 4 月 20 日,公司无控股股东和实际控制人,第一大股东为国家集成电 路基金,持股 19.86%。 公司董事会由 9 名董事组成,6 名非独立董事中,国家集成电路基金有权提名 2 名, 国投上海、中微公司分别有权提名 1 名,姜谦及其一致行动人有权提名 2 名。尽管 无实际控制人,但该体系可使公司在重大决策和日常工作中稳健经营。公司建有员工持股平台,八名外籍专家直接持股或通过员工持股平台间接持股,激 发活力。吕光泉等七名外籍专家直接持股并通过员工持股平台间接持股,姜谦及其 余员工通过员工持股平台间接持有发行
5、人激励股份,11 个员工持股平台合计持有发 行人 11,481,700 股股份,占发行前股份的 12.10%;合计持有发行人 15.19%的股份 (发行前)。 公司全员持股的激励制度,吸引了大量具有丰富经验的国内外半导体设备行业专家 加入公司,强化专家团队的技术能力、领导力和执行力。同时,公司大胆提拔国内 中层干部,并在基层增补新鲜血液,为公司发展储备人才。2. 薄膜沉积设备是半导体设备中的优质赛道薄膜沉积设备具有空间大、增速高、竞争格局好的特点,是半导体设备行业的优质 赛道: 1、空间大:薄膜沉积设备占前道设备投资的 25%,仅次于刻蚀设备,需求量大, 2020 年国内薄膜沉积设备市场规模约
6、为 300 亿人民币,且在快速增加; 2、增速高:过去十年,化学薄膜沉积设备 2011-2021 年复合增速为 13.41%,仅次 于干法刻蚀设备;“扩产持续+先进工艺+先进制程” 将持续推动半导体薄膜沉积设 备行业成长; 3、竞争格局较好:半导体薄膜沉积设备技术门槛高,国内沉积设备互为补充,竞争 对手主要在海外,有望充分授予于进程。2.1. 半导体及半导体设备行业飞速发展半导体产业链可按照主要生产过程进行划分,整体可分为上游半导体支撑产业、中 游晶圆制造产业、下游半导体应用产业。上游半导体材料、设备产业为中游晶圆制 造产业提供必要的原材料与生产设备。半导体产品下游应用广泛,涉及通讯技术、 消
7、费电子、工业电子、汽车电子、人工智能、物联网、医疗、新能源、大数据等多 个领域。下游应用行业的需求增长是中游晶圆制造产业快速发展的核心驱动力。全球半导体行业处于景气周期。由于前几年全球芯片产业制程布局与市场需求错配, 加之新冠疫情干扰供应链,多国出现了缺”芯”现象,下游需求增加,半导体产业 处于景气周期。5G、新能源汽车等景气行业持续驱动半导体需求。据 ASM Pacific 公司 2021 年 Q2 财报中援引 Gartner 数据,全球半导体销售收入仍将强劲增长,智能手机对半导体 的需求有望在 5G 推动下恢复成长,新能源汽车也将拉动需求。未来,随着 5G 通讯 网络、人工智能、汽车电子、
8、智能移动终端、物联网的需求和技术不断发展,市场 需求不断扩大,为国内封装企业提供良好的发展机会,带动半导体产业的发展,推 动先进封装的需求,成为封装领域新的增长动能。2022 年全球半导体市场规模将达到 6760 亿美元。根据 Gartner 的统计结果,全球半 导体行业销售收入 2016 年至 2018 年一直保持增长趋势,复合增长率达 17.34%。 2019 年受全球宏观经济低迷影响,半导体行业景气度有所下降。2020 年全球半导体 收入恢复增长至 4,498.0 亿美元,比 2019 年增长 7.3%;2021 年同比增长 32.38%。 据 Gartner 预测,2022 年全球半导
9、体市场规模将达到 6760 亿美元。 中国大陆集成电路销售收入近年来稳健成长,2021 年实现 10458 亿元人民币,同比 增长 18.2%,占全球的比重约为 27%。半导体设备是半导体行业的基础支撑,光刻设备、刻蚀设备、薄膜沉积设备是集成 电路前道生产工艺中的三大核心设备。半导体产业的发展衍生出巨大的半导体设备 市场,主要包括光刻机、刻蚀机、薄膜沉积设备、离子注入机、测试机、分选机、 探针台等设备,属于半导体行业产业链的技术先导者。应用于集成电路领域的设备 通常可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两大类。其中, 晶圆制造设备的市场规模占集成电路设备整体市场规模的 80%
10、以上。在前道晶圆制 造中,共有七大工艺步骤,分别为氧化/扩散、光刻、刻蚀、薄膜生长、离子注入、 清洗与抛光、金属化,所对应的设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、 薄膜沉积设备、离子注入设备、清洗设备、机械抛光设备等,其中光刻设备、刻蚀 设备、薄膜沉积设备是集成电路前道生产工艺中的三大核心设备。2.2. 薄膜沉积直接影响芯片性能,该类设备系三大前道核心设备之一晶圆前道制造可以简单看作是一个表面加工的过程,薄膜沉积包含其中。在硅片表 面按照一定顺序,生长出半导体、绝缘介质和导电层等不同成分的膜层的工艺,称 之为薄膜沉积。有多种技术可以将需要的膜层沉积到晶圆的表面,其中比较重要的 有化学
11、气相沉积(CVD)、物理气相沉积(PVD)和原子层沉积(ALD)。薄膜沉积设备主 要负责各个步骤当中的介质层与金属层的沉积,在芯片完成制造、封测等工序后会 留存在芯片中,薄膜的技术参数直接影响芯片性能。CVD 开始时间最早,其次为 PVD、ALD。1880 年用化学气相沉积(CVD)碳补强 白炽灯中的钨灯丝开创了 CVD 的历史,1950 年代 CVD 开始工业化应用,1960 年 代 CVD 法不仅应用于宇航工业的特殊复合材料、原子反应堆材料、刀具、耐热耐腐 蚀涂层等领域,还被应用于半导体工业领域。1963 年, PVD真空离子镀膜(VACION PLATING)技术研制成功,主要用于人造卫
12、星需要的耐磨零部件。原子层沉积 (ALD)制程技术则于 1970 年代开始发展,至 1990 年代末期,由于半导体工业开始 导入 ALD 制程,ALD 制程技术迅速成长。在 2007 年 Intel 利用 ALD 制程技术成长 二氧化铪(HfO2)闸极氧化层,应用于 45nm 微处理器上的金氧半场效晶体管 (MOSFET),进一步奠定 ALD 制程技术在半导体产业上的重要性。常用 CVD 设备包括 PECVD、SACVD、APCVD、LPCVD 等,适用于不同工艺节 点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。常压化学气相沉积 (APCVD)是最早的 CVD 设备,结构简单、沉积速率高,
13、至今仍广泛应用于工业 生产中。低压化学气相沉积(LPCVD)是在 APCVD 的基础上发展起来的,由于其 工作压力大大降低,薄膜的均匀性和沟槽覆盖填充能力有所改善,相比 APCVD 的 应用更为广泛。等离子体增强化学气相沉积设备(PECVD)在从亚微米发展到 90nm 的 IC 制造技术过程中,扮演了重要的角色,由于等离子体的作用,化学反应温度明 显降低,薄膜纯度得到提高,致密度得以加强,不伤害芯片已完成的电路。次常压 化学气相沉积(SACVD)主要应用于沟槽填充工艺。集成电路结构中,沟槽孔洞的 深宽比越来越大,SACVD 反应腔环境具有特有的高温(400-550)、高压(30-600Torr
14、) 环境,具有快速填空(Gap fill)能力。原子层沉积(ALD)可精确控制薄膜的厚度,台阶覆盖率高,特别适合深槽结构中 的薄膜生长。原子层沉积可以将物质以单原子膜形式一层一层地镀在基底表面的方 法。因为某些 CVD 工艺涉及的温度高于当今先进半导体中使用的材料所能承受的 温度,且 CVD 工艺是“糊状的”前体、等离子体、副产物和其他分子碎片和 物质都在腔室中漂浮,因此难以将薄膜沉积控制到原子级。相对于传统的沉积工艺 而言, ALD 工艺具有自限制生长的特点,可精确控制薄膜的厚度,制备的薄膜具 有均匀的厚度和优异的一致性,台阶覆盖率高,特别适合深槽结构中的薄膜生长。 ALD 设备沉积的薄膜具
15、有非常精确的膜厚控制和非常优越的台阶覆盖率,在 28nm 以下关键尺寸缩小的双曝光工艺方面取得了越来越广泛的应用。目前,28nm 以下先 进制程的 FinFET 制造工艺中,难点在于形成 Fin 的形状,Fin 的有源区并不是通过 光刻直接形成的,而是通过自对准双重成像技术(SADP,Self-Aligned Double Patterning)工艺形成。2.3. 薄膜沉积设备价值量高、增速快,预计到 2025 年全球 340 亿美元市 场空间我国已成为全球第一大半导体设备市场,2021 年市场空间约 296 亿美元。据 SEMI 统计,2014 年全球半导体设备销售规模为 375 亿美元,2
16、021 年全球半导体设备销 售额达 1026 亿美元,年均复合增长率达 15.46%。 我国已成为全球半导体产业市场规模最大的地区,半导体设备行业在下游快速发展 的推动下,保持快速增长。根据 SEMI 统计,2021 年中国大陆地区半导体设备销售 规模达 296.2 亿美元,同比增长 58%,为全球第一大半导体设备市场。我国集成电路设备国内自给率仅有 5%左右,在全球市场仅占 1-2%,进口替代空间 巨大。我国半导体设备整体仍依赖进口。根据中国电子专用设备工业协会数据统计, 2020 年国产半导体设备销售额约为 213 亿元,自给率约为 17.5%。如仅考虑集成电 路设备,国内自给率仅有 5%
17、左右,在全球市场仅占 1-2%,技术含量最高的集成电 路前道设备则自给率更低。半导体设备严重依赖进口不仅影响我国半导体产业的发 展,更对我国信息产业安全造成重大隐患。半导体制造国产化势必带动设备国产化, 国产设备进口替代趋势明显,替代空间巨大。薄膜沉积设备需求大、增速快。新建晶圆厂设备投资中,晶圆制造相关设备投资额 占比约为总体设备投资的 80%,薄膜沉积设备作为晶圆制造的三大主设备之一,其 投资规模占设备总投资的 20%,晶圆制造设备总投资的 25%,在半导体设备中价 值量仅次于刻蚀机。全球薄膜沉积设备市场 2025 年将达到 340 亿美元,2020-2025 年 CAGR 为 14.6%
18、。 根据 Maximize Market Research 数据统计,2017-2019 年全球半导体薄膜沉积设备 市场规模分别为 125 亿美元、145 亿美元和 155 亿美元,2020 年扩大至约 172 亿美 元,年复合增长率为 11.2%。随着半导体行业整体景气度的提升,全球半导体设备 市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加,Maximize Market Research 预计全球半导体薄膜沉积设备市场规模在 2025 年将从 2020 年的 172 亿美 元扩大至 340 亿美元,保持年复合 14.6%的增长速度。 根据国内半导体设备市场占全球市场 26.29%的
19、比例和 2020 年全球薄膜沉积设备 172 亿美元市场规模测算,2020 年国内薄膜沉积设备市场规模约为 45.22 亿美元。2.4. 全球来看,行业基本由 AMAT、ASMI、Lam、TEL 等国际巨头垄断薄膜沉积设备为海外巨头垄断。从全球市场份额来看,薄膜沉积设备行业呈现出高 度垄断的竞争局面,行业基本由应用材料(AMAT)、ASMI、泛林半导体(Lam)、 东京电子(TEL)等国际巨头垄断。2019 年,ALD 设备龙头东京电子(TEL)和先 晶半导体(ASMI)分别占据了 31%和 29%的市场份额,剩下 40%的份额由其他厂 商占据;而应用材料(AMAT)则基本垄断了 PVD 市场
20、,占 85%的比重,处于绝对 龙头地位;在 CVD 市场中,应用材料(AMAT)全球占比约为 30%,连同泛林半导 体(Lam)的 21%和 TEL 的 19%,三大厂商占据了全球 70%的市场份额。应用材料公司 Applied Materials,简称应材 AMAT,是全球最大的半导体制造设备 和服务供应商。主要产品为芯片制造相关类产品,例如原子层沉积、物理气相沉积、 化学气相沉积、电镀、侵蚀、离子注入、快速热处理、化学机械抛光、测量学和硅 片检测等,并包含配套的服务提供给营运工厂的半导体客户。 应材在 PVD 设备处于垄断地位,享有 85%的市场份额,ENDURA PVD平台是半 导体行业
21、历史上最成功的金属化系统之一,其沉积能力涵盖前端金属化,能够容纳 多达九个工艺腔室,可以灵活地混合和匹配腔室,以创建集成的多步骤工艺序列。 过去 20 年中制造的绝大多数微芯片都是使用 Endura 系统创建的。AXCELA PVD 系统在过去 10 年中表现出工艺优越性和稳定性,小批量集群架构使这款高度可靠 的 PVD 工具成为大多数金属化应用的绝佳选择。东京电子 Tokyo Electron Limited,简称 TEL,是日本最大的半导体制造设备提供 商,也是世界第四大半导体制造设备提供商。主要从事半导体制造设备和平板显示 器制造设备的研发和生产,全球拥有 1.1 万名员工。 TEL 在
22、全球 CVD 设备领域占有 19%的市场份额,其 TELINDY系列是业界首屈一 指的用于氧化、退火和 LPCVD 沉积的设备,至今出机超 4600 套。 TEL 在全球 ALD 设备领域占有 31%的市场份额,主要产品是 NT333系列,与单 晶圆或双晶圆加工技术相比,NT333具有较大的晶圆容量,具备 2 个腔室,每个 腔室可以有 6/12 个 300mm 晶圆片。它提供原子级的薄膜厚度控制,同时由于采用 空间原子层沉积方法可以达到卓越的薄膜质量和高生产率。拉姆研究 Lam Research,又称泛林半导体,成立于 1980 年是全球第三大的半导体 制造设备和服务供应商。可提供的工艺与产品
23、包括薄膜沉积、刻蚀、去胶和清洗、 量测,其中拉姆研究在薄膜沉积和刻蚀设备占有主导地位。拉姆研究主要通过自研 和兼并购的方法双管齐下,不断开发新的产品与技术,稳定市场地位。 拉姆研究 ALTUS 系统处于 ALD 设备市场领先水平,其结合化学气相沉积和原子 层沉积技术,改善接触填充效果并降低接触电阻,使沉积的阻挡层薄膜具有良好的 台阶覆盖率、较低的电阻率和相比物理气相沉积与传统化学沉积方法得到的更小的 薄膜厚度。拉姆研究 ALTUS MaxE 系列采用的业界首款低氟钨(LFW)ALD 工艺, 可使检测到的氟减 100 倍、内应力降低 10 倍、薄膜电阻率降低 30%,这一技术已 连续领先行业 1
24、5 年,且被视作钨原子层沉积的行业标杆。先晶科技 ASM International,简称 ASMI,成立于 1964 年,是一家荷兰的晶圆加 工半导体工艺设备的领先供应商。公司开创了许多工业中使用的晶圆加工技术的重 要方面,包括光刻,沉积,离子注入和单晶圆外延。近年来,公司将 RD 的原子 层沉积(ALD)和等离子体增强原子层沉积(PEALD)直接引入先进制造商的主流 生产,是 ALD 工艺的领先者之一。 ASMI 的 EMERALD XP ALD 反应器室使用淋浴喷头工艺气体分布方法,可以确 保气体均匀地输送到晶圆表面。它还具有远程等离子室清洁功能,能有效延长设备 正常运行时间;PULSA
25、R XP ALD 是业界领先的 ALD 设备,是业界首位用于大批 量生产高 k 金属栅极晶体管 ALD 系统;Synergis 是一款高生产率的 300mm 刀 具,适用于各种热原子层沉积应用。SYNERGIS ALD 系统可以配置多达四个双腔 室模块(DCM),占比空间较小但却仍有八个大批量生产的腔室。3. 公司具有稀缺性:在多个环节为国产唯一供应商应用于半导体晶圆前道工艺的薄膜沉积设备壁垒较高,公司凭借国家级重大项目的 参与经验,持续较高比例的研发投入,优秀的人才团队及完备的激励机制,形成覆 盖二十余种工艺型号的薄膜沉积设备,性能已达国际先进水平。在晶圆厂的招标中, 公司与国际巨头直接竞争
26、,受到中芯国际、华虹集团、长江存储等客户的认可,成 为唯一进入相关产线的国产设备供应商,稀缺性值得重视。3.1. 国家级重大项目经验+研发投入+人才+优质产品构筑护城河承担多项国家重大科技项目,具备八项核心技术,关键性能指标达国际先进水平。 公司自成立以来,始终专注于半导体薄膜沉积设备的研发。公司先后承担“90-65nm 等离子体增强化学气相沉积设备研发与应用”、“1x nm 3D NAND PECVD 研发及产业化”等四项国家重大科技专项/课题。公司的先进薄膜工艺设备设计技术、反应模 块架构布局技术等核心技术,解决了半导体制造中纳米级厚度薄膜均匀一致性、薄 膜表面颗粒数量少、快速成膜、设备产
27、能稳定高速等关键难题,在保证实现薄膜工 艺性能的同时,提升客户产线的产能,减少客户产线的生产成本。研发投入力度较大,均费用化;研发人员占比 74%。公司保持着较高的研发投入水 平,2021 年及 2022Q1 维持在 35%左右,且研发投入均费用化,持续投入保证了公 司产品的竞争力。 从员工构成来看,员工中 74%为研发人员,32%的员工学历为硕士及以上,显示公 司研究驱动型的特点。3.2. 产品线较为完备,性能已达国际同类设备水平公司已形成三大类薄膜沉积设备,在多个工序段、多个制程均可使用。主要产品包 括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压 化学气相沉
28、积(SACVD)设备三个产品系列,已广泛应用于国内晶圆厂 14nm 及以 上制程集成电路制造产线,并已展开 10nm 及以下制程产品验证测试。 公司的产品已适配国内最先进的 28/14nm 逻辑芯片、19/17nm DRAM 芯片和 64/128 层 3D NAND FLASH 晶圆制造产线。其中,PECVD 设备已全面覆盖逻辑电路、 DRAM 存储、FLASH 闪存集成电路制造各技术节点产线多种通用介质材料薄膜沉 积工序,并研发了 Lok、Lok、ACHM、ADC等先进介质材料工艺,拓宽公司 PECVD 产品在晶圆制造产线薄膜沉积工序的应用。公司设备性能已达到国际同类水平。公司自主研发形成的
29、核心技术,产品的性能参 数系公司经营关键业务指标,已达到国际同类设备水平。公司是国内唯一一家产业化应用的集成电路 PECVD 设备厂商,已形成 16 种不同 型号的设备,覆盖 180-14nm 逻辑芯片、19/17nm DRAM 及 64/128 层 FLASH 制造 工艺需求。公司生产的 PECVD 产品,采用一个平台可扩展多个反应腔的技术方案, 通过对反应腔流场、温度场和等离子场的仿真和设计、射频系统回路及精度的优化、 高产能,低颗粒的晶圆传动系统的设计、反应腔中的关键零部件的设计选型,满足 工艺需求的同时能够有效降低客户综合使用成本。 公司针对下游对于不同材料薄膜 PECVD 设备的需求
30、,已研发并生产 16 种不同工艺 型号的 PECVD 设备,适配 180-14nm 逻辑芯片、19/17nm DRAM 及 64/128 层 FLASH 制造工艺需求,产品能够兼容 SiO2、SiN、SiON、BPSG、PSG、TEOS、Lok、Lok 、ACHM、ADC等多种反应材料。公司已于 2018 年向某国际领先晶圆厂发货一 台 PECVD 设备用于其先进逻辑芯片制造研发产线,2020 年某国际领先晶圆厂向公 司增订了一台 PECVD 设备用于其上述先进制程试产线。公司 ALD 设备厂商已适配 55-14nm 逻辑芯片制造工艺需求。公司的等离子体增强 原子层沉积设备(PE-ALD),在
31、公司 PECVD 设备核心技术的基础上,根据 ALD 反 应原理,结合理论分析及仿真计算,对反应腔内的气路、关键件、喷淋头等进行创 新设计。公司的 ALD 设备,在实现了薄膜颗粒数量和薄膜厚度的精确控制的同时, 有效缩短了成膜反应时间,减少了反应气体的使用量,提高了设备的使用效率。公 司的 ALD 设备可以沉积 SiO2 和 SiN 材料薄膜,目前已适配 55-14nm 逻辑芯片制造工艺需求。 随着芯片制程向 7 纳米及以下延伸,芯片结构日益复杂,产生了新的工艺需求。ALD 设备由于其优异的台阶覆盖率和精确薄膜厚度控制能力,在先进制程新工艺如 SADP、HKMG 中得到了应用。随着半导体先进制
32、程产线数量增加,预计 2026 年全 球 ALD 设备市场规模约为 32 亿美元。公司是国内唯一一家产业化应用的集成电路 SACVD 设备厂商。SACVD 设备具有 能够填平沟槽孔洞结构至平整的能力和沉积速度快的特点,公司在 PECVD 设备技 术平台的基础上,通过对多腔室负载反应腔系统、精密冷却控制系统及均衡控温陶 瓷盘的设计,实现了出色的沟槽填充能力并保证了较快的填充速率。对反应腔底部 的抽气设计,减少了颗粒数量,延长了设备维护周期,提高了设备使用效率。公司 的 SACVD 设备可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 以及 8 英寸 90nm 以上的逻辑芯片
33、制造工艺需求。3.3. 唯一性:竞争对手主要在海外,公司在多个环节为国产唯一供应商, 客户认可度高在中国大陆,拓荆科技与全球巨头正面竞争,在多个环节为唯一能进入产线的国产 供应商,具备稀缺性。选用长江存储、华虹无锡、上海华力、上海积塔在中国国际 招标网上公布的 2019-2020 年间各类薄膜沉积设备采购项目的评标结果及中标结果, 计算口径为机台数量。 (1)公司 PECVD 产品中标机台数量市场份额占比为 16.56%; (2)2019-2020 年,公司未实现 ALD 设备完整机台销售,因此市场占有率为 0%, 2021 年 ALD 设备收入为 2862 万元; (3)公司 SACVD 产
34、品中标机台数量市场份额占比为 25%。拓荆科技薄膜沉积设备与国内企业工艺不同、面向行业不同,互为补充,不存在重 叠和替代关系。公司是国内唯一一家产业化应用的集成电路 PECVD、SACVD 设备 厂商。根据国内主要晶圆厂公开的招标信息显示,公司主要产品的竞争对手为海外 厂商,不存在国内其他竞争对手。中微公司的 MOCVD 设备主要面向 LED 领域; 北方华创有多款薄膜沉积设备,但与拓荆科技工艺不同、沉积材料类型不同,部分 相同工艺的产品面向行业不同(北方华创的 PECVD 设备是应用于光伏和 LED 领 域),应用领域、技术原理与公司不存在重叠和替代关系。公司产品应用于国内主流晶圆厂产线,与
35、客户长期合作,具备稳定性。公司产品已 广泛用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国 内主流晶圆厂产线,打破国际厂商对国内市场的垄断,与国际寡头直接竞争。 根据 2019-2020 年中国国际招标网披露的长江存储、华虹无锡、上海华力、上海积 塔的中标信息, PECVD、SACVD 设备在各晶圆厂占有一席之地。4. 公司成长具有确定性:国内需求持续增长+渗透率提升公司未来成长的确定性来源于及稀缺性优势下份额逐步提升,以及国内薄 膜沉积设备需求的快速增长: (1)公司的 PECVD、ALD、SACVD 三类产品在中国大陆市场空间约 150 亿元人 民币(2020 年),2
36、021 年公司国内市场份额仅 5.39%。 我们认为,在大背 景下,公司凭借稀缺性(在多个环节为国产唯一供应商)优势,市占率有望逐步提 升; (2)下游资本开支快速增长、芯片工艺进步及结构复杂化提高薄膜设备需求、先进 制程产线对薄膜设备需求量陡增,是驱动薄膜沉积设备持续快速增长的几大要素。4.1. 公司三类产品对应国内市场空间约 150 亿人民币,市占率有望持续提 升拓荆科技 PECVD、ALD、SACVD 三类产品在中国大陆市场空间约 150 亿元人民 币(2020 年)。公司现有 PECVD、ALD、SACVD 三类产品,根据国内半导体设备 市场占全球市场 26.29%的比例和 2020
37、年全球薄膜沉积设备 172 亿美元市场规模测 算,2020 年国内薄膜沉积设备市场规模约为 45.22 亿美元。PECVD 和 ALD 分别占 薄膜沉积设备市场比例为 33%和 11%,2020 年国内市场 PECVD 市场规模约为 14.92 亿美元,ALD 市场规模约为 4.97 亿美元,SACVD 约 1.35 亿美元(假设“其他”中 SACVD 占据 50%),合计约 150 亿元人民币,且在快速增长。看好及稀缺性优势下公司份额逐步提升。公司 2021 年国内市占率约 5.39%, 渗透率有望快速提升。从收入端来看,公司除 PECVD 设备已成一定体量,市占率 6.96%;ALD 设备
38、、SACVD 设备目前市占率分别为 1.27%、3.25%;2021 年合计市 占率 5.39%。 我们认为,在大背景下,公司凭借稀缺性(在多个环节为国 产唯一供应商)优势,市占率有望逐步提升。PECVD 设备快速起量,SACVD、ALD 设备有望成为新的增长极。随着公司产品 逐渐受到下游认可,PECVD 设备打开局面,2021 年实现营收 6.75 亿元,PECVD 设备已全面覆盖逻辑电路、DRAM 存储、FLASH 闪存集成电路制造各技术节点产 线多种通用介质材料薄膜沉积工序,并研发了 Lok、Lok、ACHM、ADC等先 进介质材料工艺,拓宽公司 PECVD 产品在晶圆制造产线薄膜沉积工
39、序的应用。 ALD、SACVD 设备逐渐取得突破,有望成为新的增长极。4.2. 国内晶圆厂资本开支在未来几年持续景气国内晶圆制造资本开支旺盛。中国大陆晶圆厂新建产能进程加快, 2020 年以来, 国内包括长江存储、广州粤芯、上海积塔、中芯南方、士兰微(厦门)、广东海芯项 目等产线也取得新进展。半导体行业整体快速增长,终端半导体产品的不断迭代推 动晶圆厂开发新的工艺,为设备行业提供广阔的市场空间。 据 SEMI 统计,自 2020 年开始到 2024 年为止,将有 60 座 12 寸晶圆厂新建或扩 建,其中中国大陆有 15 座。据测算,大陆内资晶圆厂 12 寸和 8 英寸潜在扩产产能 约分别为
40、120 万片/月及 42 万片/月,对应半导体薄膜沉积设备需求旺盛。4.3. 先进工艺&先进制程增加薄膜沉积的材料和环节芯片工艺进步及结构复杂化提高薄膜设备需求。随着集成电路制造不断向更先进工 艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日趋复杂,所需要 的薄膜层数越来越多,对绝缘介质薄膜、导电金属薄膜的材料种类和性能参数不断 提出新的要求。在 90nm CMOS 工艺,大约需要 40 道薄膜沉积工序。在 3nm FinFET 工艺产线,超过 100 道薄膜沉积工序,涉及的薄膜材料由 6 种增加到近 20 种,对 于薄膜颗粒的要求也由微米级提高到纳米级。薄膜设备的发展支撑了集成电路
41、制造 工艺向更小制程发展。公司产品可以满足下游集成电路制造客户产线对于不同材料、不同芯片结构薄膜沉积工序的设备需求。在 FLASH 存储芯片领域,随着主流制造工艺已由 2D NAND 发展为 3D NAND 结 构,结构的复杂化导致对于薄膜沉积设备的需求量逐步增加。根据东京电子披露, 薄膜沉积设备占 FLASH 芯片产线资本开支比例从 2D 时代的 18%增长至 3D 时代 的 26%。随着 3D NAND FLASH 芯片的内部层数不断增高,对于薄膜沉积设备的 需求提升的趋势亦将延续。先进制程产线对薄膜设备需求量陡增。在摩尔定律的推动下,元器件集成度的大幅 提高要求集成电路线宽不断缩小,影响
42、集成电路制造工序愈为复杂。尤其当线宽向 7 纳米及以下制程发展,当前市场普遍使用的光刻机受波长的限制精度无法满足要 求,需要采用多重曝光工艺,重复多次薄膜沉积和刻蚀工序以实现更小的线宽,使 得薄膜沉积次数显著增加。除逻辑芯片外,存储器领域的 NAND 闪存以 3D NAND 为主,其制造工艺中,增加集成度的主要方法不再是缩小单层上线宽而是增大三维 立体堆叠的层数,叠堆层数也从 32/64 层量产向 128/196 层发展,每层均需要经过 薄膜沉积工艺步骤,催生更多设备需求。先进制程占比亦在快速提升。据 ASMPT 援引 Gartner 公告,先进制程呈现强劲增 长,成为半导体行业未来重要驱动力
43、,随着集成电路尺寸及线宽的缩小、产品结构 的立体化及生产工艺的复杂化等因素都对半导体设备行业提出了更高的要求和更 多的需求,并为以薄膜沉积设备为代表的核心装备的发展提供了广阔的市场空间。4.4. 多款产品验证中,募投研发扩产有望形成新的增长点前瞻指标预示需求向好,接单旺盛。公司存货逐年升高,且增速不断加快,2022 年 3 月底存货达 12.94 亿元(yoy99.61%);合同负债+应收账款高速增长,2022 年 3 月 底达 7.80 亿元(yoy257.73%)。两项前瞻指标预示公司订单饱满,产品快速放量。多款产品处于产线验证中,有望成为新的增长点。截至 2021 年 9 月 10 日,
44、公司共 计 8 台 ALD、SACVD 产品处于产线验证中。其中,发往北方集成电路技术创新中 心(北京)有限公司的 2 台 SACVD 设备分别为 BPSG 工艺和 SAF 工艺 SA-300T 首 台套产品,发往长江存储的 ALD 设备为 FT-300H 首台套产品;发往武汉新芯、北 方创新、上海积塔和中芯绍兴的 5 台 ALD、SACVD 设备为成熟产品销售验证。 海外市场有望打开。公司在研产品已发往某国际领先晶圆厂参与其先进制程工艺研 发,若进展顺利,将有希望打开中国大陆以外的市场。募投多项研发及扩产项目,把握薄膜沉积设备广阔前景。公司拟募集 10 亿元,投入 “高端半导体设备扩产项目”、“先进半导体设备的技术研发与改进项目”(面向 28nm-10nm 制程 PECVD 设备的多种工艺型号开发、面向 10nm 以下制程 PECVD 设 备的平台架构研发及 UV Cure 系统设备研发)及“ALD 设备研发与产业化项目” (开发面向 28nm-10nm 制程的 ALD 设备平台架构,同步开发不同腔室数量的机台 型号,满足逻辑芯片、存储芯片制造不同的工艺需求,并进行规模化量产)。我们认 为,公司把握机遇,积极扩产,为长期成长性打下基础。