《最新EDA实训报告总结.doc》由会员分享,可在线阅读,更多相关《最新EDA实训报告总结.doc(44页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。
1、Four short words sum up what has lifted most successful individuals above the crowd: a little bit more.-author-dateEDA实训报告总结EDA实训报告总结实训心得短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。 一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东
2、西,熟悉了对quartus 软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了vhdl语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。这次eda实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过
3、的知识,而且还学到了怎样运用设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。本文基于verilog hdl的乒乓球游戏机设计,利用verilog hdl语言编写程序实现其波形数据功能在分析了cpld技术的基础上,利用cpld开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理
4、及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、cpld元件的应用,受益匪浅, 非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。通过这次课程设计,我进一步熟悉了verilog hdl语言的结构,语言规则和语言类型。对编程软件的界面及操作有了更好的熟悉。在编程过程中,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。当遇
5、到了自己无法解决的困难与问题的时候,要有耐心,要学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和帮助。这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业很重要。通过这次课程设计,培养了我们共同合作的能力。但是此次设计中参考了其他程序段实际思想,显示出我们在程序设计方面还有不足之处。在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力 。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决
6、实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。篇二:南京理工大学eda设计实验报告摘 要通过实验学习和训练,掌握基于计算机和信息技术的电路系统设计和仿真方法。要求:1. 熟悉multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见
7、电路分析方法。2. 能够运用multisim软件对模拟电路进行设计和性能分析,掌握eda设计的基本方法和步骤。multisim常用分析方法:直流工作点分析、直流扫描分析、交流分析。掌握设计电路参数的方法。复习巩固单级放大电路的工作原理,掌握静态工作点的选择对电路的影响。了解负反馈对两级放大电路的影响,掌握阶梯波的产生原理及产生过程。关键字:电路 仿真 multisim 负反馈 阶梯波目 次实验一 1 实验二 11 实验三 17实验一 单级放大电路的设计与仿真一、实验目的1. 设计一个分压偏置的单管电压放大电路,要求信号源频率5khz(峰值10mv) ,负载电阻5.1k,电压增益大于50。2.
8、调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。3. 调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度尽可能大。在此状态下测试: 电路静态工作点值; 三极管的输入、输出特性曲线和? 、 rbe 、rce值; 电路的输入电阻、输出电阻和电压增益; 电路的频率响应曲线和fl、fh值。二、实验要求1. 给出单级放大电路原理图。2. 给出电路饱和失真、截止失真和不失真且信号幅度尽可能大时的输出信号波形图,并给出三种状态下电路静态工作点值。3. 给出测试三极管输入、输出特性曲线和? 、 rbe 、rce值的实验图,并给出测试结果。
9、4. 给出正常放大时测量输入电阻、输出电阻和电压增益的实验图,给出测试结果并和理论计算值进行比较。5. 给出电路的幅频和相频特性曲线,并给出电路的fl、fh值。 6. 分析实验结果。三、实验步骤实验原理图:饱和失真时波形:此时静态工作点为:所以,i(bq)=4.76685ua i(cq)=958.06700ua u(beq)=0.62676v u(ceq)=0.31402v截止失真时波形:此时静态工作点为:所以,i(bq)=2.07543ua i(cq)=440.85400ua u(beq)=0.60519v u(ceq)=5.54322v最大不失真时波形:篇三:eda实验总结报告数字eda实
10、验 实验报告学院: 计算机科学与工程学院 专业: 通信工程 学号: 0941903207 姓名: 薛蕾 指导老师: 钱强实验一 四选一数据选择器的设计一、实验目的1、熟悉quartus ii软件的使用。 2、了解数据选择器的工作原理。 3、熟悉eda开发的基本流程。二、实验原理及内容实验原理数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路,可以采用数据选择器进行选择再对该路信号加以利用。 从多路输入信号中选择其中一路进行输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称mux
11、。 4选1数据选择器:(1)原理框图:如右图。d0 、d1、d2、d3 :输入数据 a1 、a0 :地址变量由地址码决定从路输入中选择哪路输出。(2)真值表如下图:(3)逻辑图数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。在应用中,设置一定的选择标志信号状态即可得到相应的某一路信号。这就是数据选择器的实现原理。三实验内容1、分别采用原理图和vhdl语言的形式设计4选1数据选择器 2、对所涉及的电路进行编译及正确的仿真。 电路图:四、实验程序library ieee;use ieee.std_logic
12、_1164.all;entity mux4 is port(a0, a1, a2, a3 :in std_logic;s :in std_logic_vector (1 downto 0); y :out std_logic ); end mux4;architecture archmux of mux4 is beginy <= a0 when s = 00 else -当s=00时,y=a0a1 when s = 01 else -当s=01时,y=a1 a2 when s = 10 else -当s=10时,y=a2a3; -当s取其它值时,y=a2 end archmux;五、运
13、行结果六实验总结真值表分析:当js=0时,a1,a0取00,01,10,11时,分别可取d0,d1,d2,d3. 篇四:eda实习报告中国地质大学(武汉)实习名称 :专 业: 班级序号: 姓 名: 指导教师:实验一 3/8 译码器的实现一 实验目的1 学习quartus 的基本操作;2 熟悉教学实验箱的使用;3 设计一个3/8 译码器;4 初步掌握vhdl语言和原理图的设计输入,编译,仿真和调试过程;二 实验说明.本次实验要求应用vhdl语言实现一个3/8 译码器。3/8 译码器的逻辑功能如下:本实验要求使用vhdl语言描述3/8译码器,并在实验平台上面实现这个译码器。描述的时候要注意vhdl
14、语言的结构和语法,并熟悉quartus的文本编辑器的使用方法。尝试使用不同的vhdl语言描述语句实现3/8译码器,并查看其rtl结构区别,理解不同描述方法对综合结果的影响。将程序下载到实验箱上分别用按键和led作为输入和输出对结果进行验证,进一步熟悉所用eda实验箱系统。所用器件eda实验箱、ep1k10tc100-3器件。三 实验步骤按照教学课件quartus ii 使用方法,学习quartus 软件的使用方法:1在windows 界面双击quartus 图标进入quartus环境;2单击file 菜单下的new project wizard: introduction 按照向导里面的介绍新
15、建一个工程并把它保存到自己的路径下面。)3单击file 菜单下的new,选择vhdl file,后单击ok,就能创建一个后缀名为.vhd (*.bdf)的文本(原理图)文件。此vhd文件名必须与设计实体名相同。另外,如果已经有设计文件存在,可以按file 菜单里面的open 来选择你的文件。6. 输入完成后检查并保存,编译。7. 改错并重新编译;8. 建立仿真波形文件并进行仿真。 单击 file 菜单下的 new, 选择 vector waveformfile,单击 ok,创建一个后缀名为*.vwf 的仿真波形文件,按照课件上的方法编辑输入波形,保存,进行仿真,验证仿真结果是否正确;9. 选择
16、器件及分配引脚,重新编译;10. 根据引脚分配在试验箱上进行连线,使用 led 进行显示;11. 程序下载,观察实验结果并记录;四 实验要求1用vhdl语言编写3/8译码器;2编写3/8译码器模块的源程序;3在quartusii 平台上仿真;4在实验板上面实现这个3/8译码器。五、源程序:library ieee;use ieee.std_logic_1164.all;entity deco3to8 isport (s:in std_logic_vector(2 downto 0);- -输入端3个端口y:out std_logic_vector(7 downto 0);-输出端7个端口end
17、 entity;architecture behave of deco3to8 isbeginwith s selecty<=00000001when000,-当s2,s1,s0是000时,第一个led灯亮 00000010when001,-当s2,s1,s0是001时,第二个灯亮 00000100when010, -当s2,s1,s0是010时,第三个灯亮 00001000when011,-当s2,s1,s0是011时,第四个灯亮 00010000when100,-当s2,s1,s0是100时,第五个灯亮 00100000when101,-当s2,s1,s0是101时,第六个灯亮 01
18、000000when110,-当s2,s1,s0是110时,第七个灯亮 10000000when111,-当s2,s1,s0是111时,第八个灯亮 zzzzzzzzwhen others;end behave;仿真波形:通过在实验板上的操作,可以看到当改变s2,s1,s0的值时,对应的led灯会亮。 心得体会在本次实验中我学会了用vhdl语言编写简单的程序,检查程序的错误,如何仿真程序以及如何用实验箱观察实验结果。在本次实验中我觉得软件应用仿真比较简单,只是实验箱不好用,找了好几个才找到一个能用的实验箱,浪费了好多时间。在以后的实习中一定要先找好好用的实验箱。实验二 bcd 七段显示译码器实验
19、一 实验目的1了解和熟悉组合逻辑电路的设计方法和特点;12. 掌握led显示器的工作原理;3设计一个bcd七段显示的译码器,并在实验箱上面实现你的译码器。二 实验说明led数码显示器是数字系统实验里面经常使用的一种显示器件,因为它经常显 示的是十进制或十六进制的数,所以我们就要对实验里面所用到的二进制数进行译码,将它们转换成十进制的或是十六进制的数。led数码显示器分为共阴和共阳两种,本实验使用的是共阴的连接,高电平有效。输入信号为d0,d1,d2,d3,相应的输出8段为a、b、c、d、e、f、g、dp。它们的关系表格如下:下图为译码器逻辑图,请按图进行连线。篇五:eda实训报告课程名称 :指
20、导教师 : 曹老师班 级 : 10电子1班姓 名 : 余振日 期 :8路彩灯控制器一:实训题目* 二:实训内容* 三:实训目的* 四:实训过程* 五:实训环境* 六:实训总结* 下面就从这几个方面进行论述:实训项目 :8路彩灯控制器的设计。:实训内容:1、彩灯明暗变换节拍为0.25s和0.5s,两种节拍交替运行。 2、演示花型3种:(1)从左向右顺次序亮,全亮后逆次序渐灭;(2)从中间到两边对称地渐亮,全亮后仍由中间向两边灭;(3)8路灯分两半,从左向右顺次渐亮,全亮后则全灭。:实训目的:1、熟练掌握模拟电路、数字逻辑电路的设计、分析、仿真及调试的方法。2、掌握使用eda(电子设计自动化)工具
21、设计模拟电路、数字电路的方法,了解系统设计的全过程。3、熟练掌握multisim 2001软件的基本操作及绘制原理图和进行电路仿真的一般方法4、通过对系统电路设计与制作,进一步巩固所学的理论知识,提高分析问题和解决问题的能力。5、通过此次实训,引导学生提高和培养自身创新能力,为后续课程的学习,毕业设计制作以及毕业后的工作打下坚实的基础。:实训过程1 设计方案:总体方案设计如上图,其中振荡器产生一个时钟信号,然后控制器由这个时钟信号触发而产生已如“10000000”等的序列信号,信号通过二级管就可以控制灯的亮暗了。序列信号规律的不同便会产生不同的花型。彩灯控制器是以高低电平来控制彩灯的亮与灭。如
22、果以某种节拍按一定规律改变彩灯的输入电平值,控制才等的亮与灭,即可以按预定规则就显示一定的花型。因此彩灯控制电路需要一个能够按一定规律输出不同高低电平编码信号的编码发生器,同时还需要编码发生器所要求的时序信号和控制信号。综上所述,彩灯控制器应该由定时电路、控制电路、编码发生器电路以及驱动电路组成。13. 电路方案论证:74ls194具有双向移位,并行输入/输出,保持数据和请您功能,其中s1,s0为工作方式控制端,sl/sr为左移/右移数据输入端,d0.d1.d2.d3,为并行数据输入端,q0-q3依次为由低位到高位的4位输出端,当cr非等于零时,清零,无论其他输入如何,寄存器清零,由4 中工作
23、方式:当cr非等于1时,s1=s2=0,且cp为低电平,保持功能q0-q3保持不变,且与cp,sr,sl信号无关。s1=0.s0=1(cp为高电平)有一功能,从sr端串入数据给q0,然后按q0-q1-q2-q3依次右移。 s1=1,s0=0(cp为高电平)左移功能,从sl端线串入数据给q3,然后按q3-q2-q1-q0依次左移。s1=s0=1(cp为高电平),并行输入功能,一片74ls194,只能寄存4为数据,而这个实验是8 路彩灯,那么就需要用量片或多篇74ls194级联策划了个多位寄存器,由于74ls194功能齐全,在实际中得到广泛应用,该寄存器在工作控制端的作用下,能实现穿行输入并行输出的转换,当s0s1=00.01.10.11时,分别执行保持,右移,左移,并行输入操作,右移时,串行信号从地4位片的sr输入,左移时,串行信号从高4位片的sl输入。-