《2022年TimeQuest分析器快速入门教程 .pdf》由会员分享,可在线阅读,更多相关《2022年TimeQuest分析器快速入门教程 .pdf(30页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。
1、101 Innovation DriveSan Jose, CA 95134(408) 544-7000http:/TimeQuest AnalyzerQuick Start TutorialUG-TMQSTANZR-1.0Document Version:1.0Document Date:May 2006名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 1 页,共 30 页 - - - - - - - - - iiAltera CorporationCopyright ? 2006
2、Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, thestylized Altera logo, specific device designations, and all other words and logos that are identified as trademarksand/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corp
3、oration in theU.S. and other countries. All other product or service names are the property of their respective holders. Alteraproducts are protected under numerous U.S. and foreign patents and pending applications, maskwork rights,and copyrights. Altera warrants performance of its semiconductor pro
4、ducts to current specifications in accor-dance with Alteras standard warranty, but reserves the right to make changes to any products and services at anytime without notice. Altera assumes no responsibility or liability arising out of the applicationor use of any information, product, or service des
5、cribed herein except as expressly agreed toin writing by Altera Corporation. Altera customers are advised to obtain the latest version ofdevice specifications before relying on any published information and before placing ordersfor products or services.名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - -
6、- - - - - 名师精心整理 - - - - - - - 第 2 页,共 30 页 - - - - - - - - - Altera Corporation iiiContentsAbout This User Guide . 1Revision History. 1How to Contact Altera. 1Typographic Conventions. 2Chapter 1. About this TutorialIntroduction. 11Chapter 2. Quick Start TutorialSystem Requirements. 21Procedures. 21
7、Step 1: Open & Setup Your Design in the Quartus II Software. 21Step 2: Setup the TimeQuest Analyzer. 21Step 3: Perform Initial Compilation. 22Step 4: Launch the TimeQuest Analyzer. 23Step 5: Create a Post-Map Timing Netlist. 23Step 6: Specify Timing Requirements. 24Step 7: Update the Timing Netlist.
8、 24Step 8: Save the Synopsys Design Constraints (SDC) File. 25Step 9: Generate Timing Reports for Initial Timing Netlist. 26Step 10: Save Constraints to an SDC File. 2 10Step 11. Perform Timing-Driven Compilation. 2 10Step 12. Verify Timing in the TimeQuest Analyzer. 2 11Conclusion. 2 17Chapter 3. S
9、cript ExamplesCommands & TclScripts . 31名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 3 页,共 30 页 - - - - - - - - - ivAltera CorporationTimeQuest Analyzer Quick Start TutorialContents名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 4 页,
10、共 30 页 - - - - - - - - - Altera Corporation 1TimeQuest Analyzer Quick Start TutorialAbout This User GuideRevision HistoryThe table below displays the revision history for the chapters in this user guide.How to Contact AlteraFor the most up-to-date information about Altera? products, go to the Altera
11、 world-wide web site at . For technical support on this product, go to For additional information about Altera products, consult the sources shown below.ChapterDateVersionChanges Made1May 20061.0Initial release.2May 20061.0Initial release.3May 20061.0Initial release. Information TypeUSA & CanadaAll
12、Other LocationsTechnical 800-EPLD (3753)(7:00 a.m. to 5:00 p.m. Pacific Time)+1 408-544-87677:00 a.m. to 5:00 p.m. (GMT -8:00) Pacific TimeProduct Altera literature Non-technical customer service(800) 767-3753+ 1 408-544-70007:00 a.m. to 5:00 p.m. (GMT -8:00) Pacific TimeFTP 名师资料总结 - - -精品资料欢迎下载 - -
13、 - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 5 页,共 30 页 - - - - - - - - - 2Altera CorporationTimeQuest Analyzer Quick Start TutorialTypographic ConventionsTypographic ConventionsThis document uses the typographic conventions shown below.Visual CueMeaningBold Type with Initial Capital Lett
14、ersCommand names, dialog box titles, checkbox options, and dialog box options are shown in bold, initial capital letters. Example: Save As dialog box. bold typeExternal timing parameters, directory names, project names, disk drive names, filenames, filename extensions, and software utility names are
15、 shown in bold type. Examples: fMAX, qdesigns directory, d: drive, chiptrip.gdf file.Italic Type with Initial Capital LettersDocument titles are shown in italic type with initial capital letters. Example: AN 75: High-Speed Board Design.Italic typeInternal timing parameters and variables are shown in
16、 italic type. Examples: tPIA, n + 1.Variable names are enclosed in angle brackets () and shown in italic type. Example: , .pof file. Initial Capital LettersKeyboard keys and menu names are shown with initial capital letters. Examples: Delete key, the Options menu. “Subheading Title”References to sec
17、tions within a document and titles of on-line help topics are shown in quotation marks. Example: “Typographic Conventions.”Courier typeSignal and port names are shown in lowercase Courier type. Examples: data1, tdi, input. Active-low signals are denoted by suffix n, e.g., resetn.Anything that must b
18、e typed exactly as it appears is shown in Courier type. For example: c:qdesignstutorialchiptrip.gdf. Also, sections of an actual file, such as a Report File, references to parts of files (for example., the VHDL keyword BEGIN), as well as logic function names (e.g., TRI) are shown in Courier. 1., 2.,
19、 3., anda., b., c., etc.Numbered steps are used in a list of items when the sequence of the items is important, such as the steps listed in a procedure. ?Bullets are used in a list of items when the sequence of the items is not important. vThe checkmark indicates a procedure that consists of one ste
20、p only.1The hand points to information that requires special attention. cThe caution indicates required information that needs special consideration and understanding and should be read prior to starting or continuing with the procedure or process.wThe warning indicates information that should be re
21、ad prior to starting or continuing the procedure or processesrThe angled arrow indicates you should press the Enter key.fThe feet direct you to more information on a particular topic. 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 6 页,共 30 页 - - - - - - - - - Altera
22、Corporation 11May 2006Chapter 1.About this TutorialIntroductionThis tutorial describes the steps necessary to constrain and perform a static timing analysis using the TimeQuest Timing Analyzer. This tutorial uses the fir_filter design that ships with the Quartus?II software. The fir_filter design sc
23、hematic is shown in Figure1 1.Figure 11. fir_filter Design Schematic名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 7 页,共 30 页 - - - - - - - - - 12Altera CorporationTimeQuest Analyzer Quick Start Tutorial May 2006Introduction名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - -
24、- - - - - - - 名师精心整理 - - - - - - - 第 8 页,共 30 页 - - - - - - - - - Altera Corporation 21May 2006Chapter 2.QuickStart TutorialSystem RequirementsThe steps in this section require the following hardware and software:A PC running Windows 2000/XP, Red Hat Linux 8.0, or Red Hat Linux Enterprise 3, or an H
25、P workstation running the HP-UX 11.0 operating system, or a Sun workstation running the Solaris 8 or 9 operating systemQuartus?II software beginning with version 6.0ProceduresThe following steps illustrate the flow you use to constrain and analyze a design using the TimeQuest analyzer. Each step inc
26、ludes the GUI procedure and the command-line equivalent.Step 1: Open & Setup Your Design in the Quartus II SoftwareIn the QuartusII software, browse to and open the fir_filter located in the qdesign folder folder. Use the GUI or the command-line equivalent procedures in Table2 1.Step 2: Setup the Ti
27、meQuest AnalyzerBy default, the QuartusII software uses the Classic Timing Analyzer as the timing analysis tool. To use the TimeQuest analyzer for the fir_filterproject in the Compilation flow, you must specify the TimeQuest analyzer as the timing analysis tool in the QuartusII software.Table 21. Op
28、ening & Setting Up Your DesignThe Quartus II Software GUICommand LineOn the File menu, click Open Project, and browse to and select the project file compile_fir_filter.qpf.Not required in the command-line procedure.名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 9 页,共
29、 30 页 - - - - - - - - - 22Altera CorporationTimeQuest Analyzer Quick Start Tutorial May 2006ProceduresTo specify the TimeQuest analyzer as the timing analysis tool in the Quartus II software, use the GUI or command-line procedures in Table 2 2.Step 3: Perform Initial CompilationBefore you apply timi
30、ng constraints to the design, you must create an initial database. The initial database is generated from the post-map results of the design. Use the GUI or command-line procedures in Table 2 3 to complete this step.Run Analysis & Synthesis to generate a post-map database.1You can also create a post
31、-fit netlist for the initial database. However, creating a post-map is less time consuming, and is sufficient for this tutorial example.Table22. Specifying the TimeQuest Timing Analyzer as DefaultThe Quartus II Software GUICommand LineOn the Assignments menu, click Settings. The Settings dialog box
32、appears.In the Category list, expand Compilation Process Settings and click Timing Analysis Processing.Turn on Use TimeQuest Timing Analyzer during compilation.Click OK .Type:quartus_sh sproject_open filtrefset_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER ONTo close the project, type:projec
33、t_closeTable23. Performing Initial CompilationThe Quartus II Software GUICommand LineOn the Processing menu, point to Start and click Start Analysis & Synthesis.Type:quartus_map filtref Note to Table23:(1)The quartus_map is used to create a post-map database.名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - -
34、- - - - - - - - 名师精心整理 - - - - - - - 第 10 页,共 30 页 - - - - - - - - - Altera Corporation 23May 2006TimeQuest Analyzer Quick Start TutorialQuick StartTutorialStep 4: Launch the TimeQuest AnalyzerTo create and verify all timing constraints and exceptions, you must launch the TimeQuest Timing Analyzer.
35、Refer toTable2 4 for the GUI and command-line procedures to complete this step.Step 5: Create a Post-Map Timing NetlistBefore specifying any timing requirements, you must create a timing netlist; you can create a timing netlist from a post-map or post-fit database. The timing netlist is derived from
36、 the post-map database you created in Step 3: Perform Initial Compilation. To create a timing netlist based on the post-map netlist, use the GUI or command-line procedures in Table 2 5.1When you launch the TimeQuest analyzer directly from the Quartus II software, the current project is automatically
37、 opened.1You cannot use the Create Timing Netlist command in the Tasks pane to create a post-map timing netlist. By default, the Create Timing Netlist requires a post-fit database.Table 24. Launching the TimeQuest Timing AnalyzerThe Quartus II Software GUICommand LineOn the T ools menu, click TimeQu
38、est Timing Analyzer.Type:quartus_sta s (1)Note to Table24:(1)This command launches the TimeQuest shell mode.Table25. Creating a Post-Map Timing NetlistThe TimeQuest Timing Analyzer GUIThe TimeQuest Timing Analyzer ConsoleOn the Netlist menu, click Create Timing Netlist. The Create Timing Netlist dia
39、log box appears.Under Input netlist type, select Post-Map.Click OK.Type:create_timing_netlist post_map名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 11 页,共 30 页 - - - - - - - - - 24Altera CorporationTimeQuest Analyzer Quick Start Tutorial May 2006ProceduresStep 6: Sp
40、ecify Timing RequirementsYou must define two clocks in the fir_filter design. Refer to Table2 6 for a list of properties for each clock.To create the clocks in the fir_filter design, and assign the proper clock ports, use the GUI or command-line procedures in Table2 7.1By default, the create_clock c
41、ommand assumes a 50/50 duty cycle.Once you have completed the procedure shown in Table2 7, the clock definition is complete.Step 7: Update the Timing NetlistAfter you create timing constraints or exceptions, you must update the timing netlist to apply all timing requirements to the timing netlist.Ta
42、ble 26. Clocks in fir_filter DesignClock Port NameRequirementclk50 MHz with a 50/50 duty cycle.clkx2100 MHz with a 60/40 duty cycle.Table27. Creating Clocks & Assigning Clock PortsThe TimeQuest Timing Analyzer GUIThe TimeQuest Timing Analyzer ConsoleOn the Constraints menu, click Create Clock. The C
43、reate Clock dialog box appears.Specify the parameters in Table 26 for the 50MHz clock. Repeat these step for the 100MHz clock.Type:#create the 50 MHz clockcreate_clock period 20 get_ports clk#create the 100 MHz clockcreate_clock period 10 waveform 0 6 get_ports clkx2名师资料总结 - - -精品资料欢迎下载 - - - - - -
44、- - - - - - - - - - - - 名师精心整理 - - - - - - - 第 12 页,共 30 页 - - - - - - - - - Altera Corporation 25May 2006TimeQuest Analyzer Quick Start TutorialQuick StartTutorialTo update the timing netlist for the new clk and clkx2 clock constraints, use the GUI or command-line procedures in Table2 8.Step 8: Sav
45、e the Synopsys Design Constraints (SDC) FileBefore you generate a timing report, you should create an SDC file.Once you specify the clock constraints for the design and update the timing netlist, you should create an SDC file. All of your timing constraints and exceptions are saved to the SDC file.1
46、If you inadvertently overwrite any of your constraints later in the design flow, you can use this initial SDC file to restore all of your constraints.The initial SDC file can act as the “ golden ” SDC file that contains the original constraints and exceptions for the design. Use the GUI or command-l
47、ine procedures in Table2 9 to create an SDC file.The filtref.sdc file now contains the constraints and false path exceptions for the two clocks that you defined in Step 6: Specify Timing Requirements .The Write SDC File command can overwrite any SDC files. When this occurs, the new SDC file does not
48、 maintain order or comments. Therefore, it is recommended that you save a golden SDC file separately that you can manually edit with a text editor. This allows you to enter comments and organize the file to your own specifications. Table 28. Updating the Timing NetlistThe TimeQuest Timing Analyzer G
49、UIThe TimeQuest Timing Analyzer ConsoleIn the Tasks pane, double-click the Update Timing Netlist command.Type:update_timing_netlistTable29. Saving the SDC FileThe TimeQuest Timing Analyzer GUIThe TimeQuest Timing Analyzer ConsoleIn the Tasks pane, double-click the Write SDC Filecommand. In the Write
50、 SDC File dialog box, enter filtref.sdcin the File Name field.Type:write_sdc filtref.sdc名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 13 页,共 30 页 - - - - - - - - - 26Altera CorporationTimeQuest Analyzer Quick Start Tutorial May 2006ProceduresStep 9: Generate Timing