2022年程序实例:ASK调制与解调VHDL程序及仿真 .pdf

上传人:Q****o 文档编号:26491475 上传时间:2022-07-17 格式:PDF 页数:4 大小:222.20KB
返回 下载 相关 举报
2022年程序实例:ASK调制与解调VHDL程序及仿真 .pdf_第1页
第1页 / 共4页
2022年程序实例:ASK调制与解调VHDL程序及仿真 .pdf_第2页
第2页 / 共4页
点击查看更多>>
资源描述

《2022年程序实例:ASK调制与解调VHDL程序及仿真 .pdf》由会员分享,可在线阅读,更多相关《2022年程序实例:ASK调制与解调VHDL程序及仿真 .pdf(4页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。

1、1 ASK 调制 VHDL 程序及仿真1. ASK 调制 VHDL 程序-文件名: PL_ASK -功能:基于VHDL 硬件描述语言,对基带信号进行ASK 振幅调制-最后修改日期:2004.3.16 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_ASK is port(clk :in std_logic; -系统时钟 start :in std_logic; -开始调制信号 x :in std_logic; -

2、基带信号 y :out std_logic); -调制信号end PL_ASK; architecture behav of PL_ASK is signal q:integer range 0 to 3; -分频计数器signal f :std_logic; -载波信号begin process(clk) begin if clkevent and clk=1 then if start=0 then q=0; elsif q=1 then f=1;q=q+1; -改变 q 后面数字的大小,就可以改变载波信号的占空比 elsif q=3 then f=0;q=0; -改变 q 后面数字的大小

3、,就可以改变载波信号的频率 else f=0;q=q+1; end if; end if; end process; 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 1 页,共 4 页 - - - - - - - - - 2 y=x and f; -对基带码进行调制end behav; 2. ASK 调制 VHDL 程序仿真图及注释ASK 调制 VHDL 程序仿真图及注释如图8.9.7 所示。(a)ASK 调制仿真全图注: a.基带码长等于载波f 的 6 个周期。b. 输出的调制信号

4、y 滞后于输入基带信号x 一个 clk 时间。(b)ASK 调制仿真局部放大图图 8.9.7 ASK 调制 VHDL 程序仿真图及注释8.9.6 ASK 解调 VHDL 程序及仿真1.ASK 解调 VHDL 程序-文件名: PL_ASK2 -功能:基于VHDL 硬件描述语言,对ASK 调制信号进行解调-最后修改日期:2004.2.12 library ieee; use ieee.std_logic_arith.all; 名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 2 页,共 4

5、 页 - - - - - - - - - 3 use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_ASK2 is port(clk :in std_logic; -系统时钟 start :in std_logic; -同步信号 x :in std_logic; -调制信号 y :out std_logic); -基带信号end PL_ASK2; architecture behav of PL_ASK2 is signal q:integer range 0 to 11; -计数器signal xx:s

6、td_logic; -寄存 x 信号signal m:integer range 0 to 5; -计 xx 的脉冲数begin process(clk) -对系统时钟进行q 分频,begin if clkevent and clk=1 then xx=x; -clk 上升沿时,把x 信号赋给中间信号xx if start=0 then q=0; -if语句完成q 的循环计数 elsif q=11 then q=0; else q=q+1; end if; end if; end process; process(xx,q) -此进程完成ASK 解调begin if q= 11 then m=

7、0; -m计数器清零elsif q= 10 then if m=3 then y=0; -if语句通过对m 大小,来判决y 输出的电平 else y=1; end if; elsif xxevent and xx=1then m=m+1; -计 xx 信号的脉冲个数名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 3 页,共 4 页 - - - - - - - - - 4 end if; end process; end behav; 2.ASK 程序解调仿真图及注释ASK 程序解调仿

8、真图及注释如图8.9. 10 所示。(a)ASK 解调仿真全图注: a.在 q=11 时, m 清零。b.在 q=10 时,根据 m 的大小,进行对输出基带信号y 的电平的判决。c.在 q 为其它时, m 计 xx(x 信号的寄存器)的脉冲数。d. 输出的基带信号y 滞后输入的调制信号x 10 个 clk。(b)ASK 解调仿真局部放大图图 8.9.10 ASK程序解调仿真图及注释名师资料总结 - - -精品资料欢迎下载 - - - - - - - - - - - - - - - - - - 名师精心整理 - - - - - - - 第 4 页,共 4 页 - - - - - - - - -

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 技术资料 > 技术总结

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号© 2020-2023 www.taowenge.com 淘文阁