VHDL语言与数字集成电路设计(精).ppt

上传人:豆**** 文档编号:25039711 上传时间:2022-07-09 格式:PPT 页数:27 大小:410.50KB
返回 下载 相关 举报
VHDL语言与数字集成电路设计(精).ppt_第1页
第1页 / 共27页
VHDL语言与数字集成电路设计(精).ppt_第2页
第2页 / 共27页
点击查看更多>>
资源描述

《VHDL语言与数字集成电路设计(精).ppt》由会员分享,可在线阅读,更多相关《VHDL语言与数字集成电路设计(精).ppt(27页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。

1、第一章第一章 概述概述 VHDLVery high speed integration circuits Hardware Description Language 一种集成电路的硬件描述语言;一种集成电路的硬件描述语言;用于进行数字集成电路的设计;用于进行数字集成电路的设计;数字集成电路数字集成电路数字逻辑电路,通常由基本门电路构成;数字逻辑电路,通常由基本门电路构成;在一块半导体芯片上设计制作;在一块半导体芯片上设计制作;目前以目前以CMOSCMOS工艺为主进行制备;工艺为主进行制备;在信息技术领域得到广泛的应用。在信息技术领域得到广泛的应用。从上世纪从上世纪6060年代开始发展,每年代开

2、始发展,每3 3年年集成度与速度提高集成度与速度提高2 2倍。倍。从简单的门电路到复杂的数字系统,从简单的门电路到复杂的数字系统,系统复杂程度急剧提高。系统复杂程度急剧提高。数字集成电路的发展数字集成电路的发展SSI SSI (120gates120gates) 基本单元组合基本单元组合 (P.13P.13)MSIMSI(2020020200) 简单功能电路:简单功能电路: 译码器、数据选择器、寄存器、计数器译码器、数据选择器、寄存器、计数器LSILSI(2002020020万)万) 小规模系统组件:小规模系统组件: 存储器、微处理器、可编程逻辑器件存储器、微处理器、可编程逻辑器件VLSIVL

3、SI(可达上亿)(可达上亿) 大型系统组件或小型系统大型系统组件或小型系统 SOCSOC:Systems on chip !Systems on chip !数字集成电路的发展数字集成电路的发展数字集成电路的设计数字集成电路的设计电路复杂程度高,开发时间长;电路复杂程度高,开发时间长;目标:短周期、低成本、高性能目标:短周期、低成本、高性能方案:层次化、模块化、标准化方案:层次化、模块化、标准化自顶至下的多层次设计:自顶至下的多层次设计:TOP-DOWNTOP-DOWN数字集成电路的设计特数字集成电路的设计特点点系统设计系统设计 系统描述:芯片功能、性能、系统描述:芯片功能、性能、 成本、尺寸

4、等成本、尺寸等功能设计功能设计 功能级描述:功能框图、时序功能级描述:功能框图、时序 图等图等逻辑设计逻辑设计 逻辑描述:逻辑电路图逻辑描述:逻辑电路图 电路设计电路设计 电路描述:电路图、门级网表电路描述:电路图、门级网表版图设计版图设计 版图网表版图网表数字集成电路的设计层数字集成电路的设计层次次采用文本形式进行程序设计,便于编写和修改;采用文本形式进行程序设计,便于编写和修改;具有硬件特征的语句,可以描述数字系统的结具有硬件特征的语句,可以描述数字系统的结构、功能、行为和接口;构、功能、行为和接口;全面支持电路硬件的设计、验证、综合和测试;全面支持电路硬件的设计、验证、综合和测试;设计与

5、具体工艺无关,适合于多层次设计;设计与具体工艺无关,适合于多层次设计;具有良好的开放性和并行设计能力、便于交流具有良好的开放性和并行设计能力、便于交流保存共享。保存共享。设计交流的语言:设计交流的语言:HDLHDL一个简单数字电路的设计描述一个简单数字电路的设计描述4 4位加法器标准模块:位加法器标准模块:a+b+ci=sa+b+ci=s3 3组输入,组输入,1 1组输出;组输出; 4 4位加法的实现过程位加法的实现过程可以采用可以采用4 4个全加器模块(个全加器模块(FAFA)连接实现;)连接实现;每个模块有每个模块有3 3个输入,个输入,2 2个输出;个输出;VHDLVHDL对电路模块的描

6、述对电路模块的描述entity add4 is port (a,b: in bit_vector( 3 downto 0 ); ci : in bit; s : out bit_vector(4 downto 0);end add4;采用实体(采用实体(entityentity)描述模块的外部端口)描述模块的外部端口VHDLVHDL对电路内部结构的描对电路内部结构的描述述architecture str of add4 issignal c: bit_vector(2 downto 0);component fa is port (a,b,ci: in bit; s,co : out bit)

7、;end component;begin u1:fa port map (a(0),b(0),ci,s(0),c(0); u2:fa port map (a(1),b(1),c(0),s(1),c(1); u3:fa port map (a(2),b(2),c(1),s(2),c(2); u4:fa port map (a(3),b(3),c(2),s(3),s(4);end str;采用结构体(采用结构体(archtecturearchtecture)描述模块)描述模块的内部连接关系的内部连接关系对上述描述程序的电路综合对上述描述程序的电路综合程序准确体现了希望实现的电路结构程序准确体现了希

8、望实现的电路结构全加器的设计全加器的设计VHDLVHDL不仅可以通过连线描述进行电路设计,不仅可以通过连线描述进行电路设计,也可以通过运算关系或电路的行为特征进行也可以通过运算关系或电路的行为特征进行电路设计。电路设计。根据数字电路的基本知识,全加器的功能可根据数字电路的基本知识,全加器的功能可以由下列逻辑运算描述:以由下列逻辑运算描述:cbasbcacabco全加器的全加器的VHDLVHDL程序程序entity fa is port (a,b,ci: in bit; s,co : out bit);end fa; architecture rtl of fa isbegin s=a xor

9、b xor ci; co=(a and b) or (a and ci) or (b and ci);end rtl;全加器全加器VHDLVHDL程序的综合结果程序的综合结果对全加器电路描述的修改对全加器电路描述的修改在在CMOSCMOS电路结构中,电路结构中,3 3输入异或门不是一个输入异或门不是一个基本单元器件,为了使设计能够更直接地反基本单元器件,为了使设计能够更直接地反映晶体管电路的构成,可以将该计算采用两映晶体管电路的构成,可以将该计算采用两输入逻辑替代,设置一个中间信号表达输入逻辑替代,设置一个中间信号表达2 2个个输入量的异或,然后再将其与第输入量的异或,然后再将其与第3 3个变

10、量进个变量进行异或;行异或;bas1ciss 1对全加器电路描述的修改对全加器电路描述的修改architecture rtl of fa issignal s1:bit;Begin - s=a xor b xor ci; s1=a xor b;s=s1 xor ci co=(a and b) or (a and ci) or (b and ci);end rtl;只是改变结构体中的相应描述语句只是改变结构体中的相应描述语句修改后电路的综合结果修改后电路的综合结果通过简单改变通过简单改变VHDLVHDL的语句,就可以改变电路的语句,就可以改变电路中使用的逻辑单元和连接方式。中使用的逻辑单元和连接

11、方式。电路基本单元的结构电路基本单元的结构考虑到晶体管级和版图级的性能优化问题,考虑到晶体管级和版图级的性能优化问题,异或门可以采用传输门结构实现,而异或门可以采用传输门结构实现,而“与与- -或或”结构则通常采用与非门实现。结构则通常采用与非门实现。数字集成电路的优化设计数字集成电路的优化设计在系统一级,需要考虑使功能模块的数量在系统一级,需要考虑使功能模块的数量最小化,减少相互连线;最小化,减少相互连线;在功能模块一级,需要考虑逻辑单元的使在功能模块一级,需要考虑逻辑单元的使用量和运算速度问题;用量和运算速度问题;对于逻辑单元,则需要考虑基本单元的使对于逻辑单元,则需要考虑基本单元的使用及

12、其连接方式;用及其连接方式;晶体管级和版图的优化则限制着基本逻辑晶体管级和版图的优化则限制着基本逻辑单元的规模。单元的规模。数字集成电路设计的基本条件数字集成电路设计的基本条件熟悉电路的基本结构,设计方法和设计流程;熟悉电路的基本结构,设计方法和设计流程;掌握硬件描述语言的特点和描述方法;掌握硬件描述语言的特点和描述方法;掌握相关综合工具和仿真工具的应用。掌握相关综合工具和仿真工具的应用。本课程内容安排本课程内容安排了解数字集成电路的结构特点了解数字集成电路的结构特点了解数字集成系统的基本设计方法了解数字集成系统的基本设计方法掌握常用掌握常用EDAEDA工具的基本使用方法工具的基本使用方法掌握

13、掌握VHDLVHDL的基本语法和主要编程要点的基本语法和主要编程要点掌握常用数字单元电路的掌握常用数字单元电路的VHDLVHDL设计特点设计特点教材教材Digital DesignDigital Design Principles & Practices Principles & Practices (第三版)(第三版) John F.Wakerly John F.Wakerly 高等教育出版社高等教育出版社 (20012001)( (节选相关内容节选相关内容) )参考书参考书现代电子技术现代电子技术VHDLVHDL与数字系统设计与数字系统设计 杨刚杨刚 龙海燕龙海燕 电子工业出版社(电子工业出版社(20042004)VHDLVHDL数字电路设计教程数字电路设计教程 巴西巴西Volnei A.Pedroni Volnei A.Pedroni 著著 乔庐峰乔庐峰 王志功王志功 等译等译 电子工业出版社(电子工业出版社(20052005)

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号© 2020-2023 www.taowenge.com 淘文阁