EDA数字电子钟设计(共14页).doc

上传人:飞****2 文档编号:13850553 上传时间:2022-05-01 格式:DOC 页数:14 大小:735KB
返回 下载 相关 举报
EDA数字电子钟设计(共14页).doc_第1页
第1页 / 共14页
EDA数字电子钟设计(共14页).doc_第2页
第2页 / 共14页
点击查看更多>>
资源描述

《EDA数字电子钟设计(共14页).doc》由会员分享,可在线阅读,更多相关《EDA数字电子钟设计(共14页).doc(14页珍藏版)》请在taowenge.com淘文阁网|工程机械CAD图纸|机械工程制图|CAD装配图下载|SolidWorks_CaTia_CAD_UG_PROE_设计图分享下载上搜索。

1、精选优质文档-倾情为你奉上 青海民族大学 EDA论文论文题目: 基于EDA的数字电子钟的实现指导老师: 院系 : 物理与电子信息工程学院 姓名 : 学号 : 班级 : 08通信工程(1)班 2010年11月25日 目录 摘要 Abstract第一章 :绪论 1.1:选题目的 1.2:设计思路第二章 :数字电子钟小系统 2.1:整体设计方案框架图 2.2:模块程序验证 2.3:总程序框图第三章 :硬件实现与总结 3.1:硬件实现 3.2:总结 致谢 参考文献 摘要EDA技术的设计语言为VHDL(硬件描述语言),实验载体为可编程器件CPLD或者FPGA,进行元件建模和仿真的目标器件为ASIC/SO

2、C芯片。它是一种自动化设计电子产品的过程。在电子设计仿真的领域里,EDA技术的出现具有非常重要的现实意义。EDA源自于计算机辅助设计、制造、测试以及辅助工程。利用EDA工具,设计者们可以从概念、算法、协议等方面来设计电子系统。值得一提的是,在整个电子系统的设计过程中,设计电路、分析性能、布置IC和PCB版图等步骤都可以在电脑上自动完成。时钟我们的日常生活中必备的生活用品之一。而数字时钟的出现更是给人们的生产生活带来了极大的便利。EDA技术为数字类产品提供了一个非常简便实用的开发平台。随着EDA技术的快速发展,数字时钟的应用的范围越来越广泛,并且它在功能、外观等方面也有了很大的改善和提高。本文就

3、是基于EDA技术和数字电路的基础知识,利用Quartus2软件、再现一个传统时钟功能和闹铃功能的数字时钟。整个小系统包括传统数字时钟所拥有的计时模块、校时模块、译码显示模块。 关键词:EDA;数字时钟;模块;闹钟 Abstract EDA technology design language for VHDL (hardware description language), experimental carrier for programmable devices, components or FPGA CPLD device modeling and simulation of target

4、 for ASIC/SOC chip. It is a kind of automation design electronic product process. In the electronic design simulation field, EDA technique appears has very important practical significance. EDA originated in computer aided design, manufacture, test and the auxiliary projects. Using EDA tools, design

5、ers can from concept, algorithm, agreement, etc to design electronic systems. Be worth what carry is, in the whole electronic system design process, the circuit design, analyzing performance, decorate IC and PCB layout steps can be on the computer automatically. Clock is one of the necessaries in ou

6、r daily life. And digital clock has brought about lots of convenience. EDA technology provides a simpler and more useful platform to make a study of digital products. With the development of EDA technology, digital clock has improved a lot in its designing process, functions, appearance and so on. N

7、owadays, this paper is based on EDA technique and digital circuit, by using the basic knowledge of Quartus2 software, reproduce a traditional clock function and alarm function of digital clock. The system includes traditional digital clocks have timer modules, alarm clock module, decoding display mo

8、dule. Keywords: EDA; digital clock; modules; alarm 第一章:绪论1.1:选题目的 时钟是我们日常生活中常见的一种电子产品,它的出现给我们的生活带来了极大的便利,在大学这两年里所学的有关电子的知识尤其是EDA给了我一个平台去再现原始的、传统的数字电子钟,也为深入去了解、思考创新数字电子钟有一个基础。 目前,现代时钟正朝着高精度、多功能、小体积、低功耗等方向发展。在这种趋势下,数字时钟以其小巧的外形、便宜的价格、走时准确、功能强大等优点迅速成为钟表市场上的主流产品。由此可见,研究数字时钟的扩展及其应用,有着非常重要的现实意义。 因此,本论文即利用E

9、DA技术(本论文仅涉及VHDL语言编程及硬件实现)以及数字电路技术等基础知识设计了一个传统钟表功能(如计时、闹铃等)数字时钟小系统。1.2:设计思路要实现一个数字时钟小系统,整个系统由主要模块电路模块和外部输入输出以及显示模块组成。首先分别实现单个模块的功能,然后再通过级联组合的方式实现对整个系统的设计。其中,主要模块有四个。它包括脉冲信号产生模块、时间计数模块(计数模块又分为分计数模块、秒计数模块、时计数模块)、译码显示模块、复位模块。各个模块先用EDA技术中的VHDL语言编程仿真,再生成各个小模块的模拟元件,再元件例化,根据设计连接电路实现数字电子钟小系统。 第二章:数字电子钟小系统译码显

10、示2.1:整体设计方案框架图 校时模块计时模块复位模块时钟脉冲2.2:模块程序验证 1、秒计时器(second1)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity second is port(clk,reset:in std_logic; sec1,sec2:out std_logic_vector(3 downto 0); carry:out std_logic);end second;architecture rt1 of second is signal sec1_t,sec2

11、_t:std_logic_vector(3 downto 0);begin process(clk,reset) begin if reset=1then sec1_t=0000; sec2_t=0000; elsif clkevent and clk=1then if sec1_t=1001then sec1_t=0000; if sec2_t=0101then sec2_t=0000; else sec2_t=sec2_t+1; end if; else sec1_t=sec1_t+1; end if; if sec1_t=1001 and sec2_t=0101then carry=1;

12、 else carry=0; end if; end if; end process; sec1=sec1_t; sec2=sec2_t;end rt1;程序生成波形和硬器件:同秒计时器一样3、时计时器(hour1)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour is port (clk,reset:in std_logic; hour1,hour2:out std_logic_vector(3 downto 0); end hour;architecture rt1 of

13、 hour is signal hour1_t,hour2_t:std_logic_vector(3 downto 0);beginprocess(clk,reset)begin if reset=1then hour1_t=0000; hour2_t=0000; elsif clkevent and clk=1then if hour1_t=0011 and hour2_t=0010then hour1_t=0000; hour2_t=0000; else if hour1_t=1001then hour1_t=0000; if hour2_t=0010then hour2_t=0000;

14、else hour2_t=hour2_t+1; end if; else hour1_t=hour1_t+1; end if; end if; end if;end process; hour1=hour1_t; hour2=hour2_t;end rt1;程序生成波形和硬器件:4、 分频器(fp)library IEEE; use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity fp is port (rst,clk : in STD_LOGIC; what

15、: out STD_LOGIC);end fp;architecture a of fp is signal count100 : integer range 0 to 99; signal tt:std_logic;begin process (rst,clk) begin if rst=1 then count100=0; elsif rising_edge(clk) then count100= count100 + 1 ;tt=tt; if count100=99 then count100=0;tt=not tt; end if ; end if; what=tt; end proc

16、ess;end a;程序生成波形和硬器件:5、mux6_1scanlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux6_1scan is port(clkscan,reset:in std_logic; in1,in2,in3,in4,in5,in6:in std_logic_vector(3 downto 0); data:out std_logic_vector(3 downto 0); sel:out std_logic_vector(2 downto 0);end mux

17、6_1scan;architecture rt1 of mux6_1scan is signal count:std_logic_vector(2 downto 0);begin process(clkscan,reset) begin if reset=1then count=000; elsif clkscanevent and clkscan=1then if count=101then count=000; else countdatadatadatadatadatadata=in6;end case;end process; seldoutdoutdoutdoutdoutdoutdo

18、utdoutdoutdoutdout=; end case; end process;end behav;程序生成波形和硬器件:7. 校时模块图四、 系统设计 将上述5个程序作为底层文件,存放在同一个文件夹中,然后按下面的图将这几个文件连接起来,并用元件例化语句编写顶层文件的程序,如下:library ieee;use ieee.std_logic_1164.all;entity zdbs isport(hour1,hour2,min1,min2,sec1,sec2:in std_logic_vector(3 downto 0); q1,q0:in std_logic; q:out std_l

19、ogic);end zdbs;architecture one of zdbs issignal q3,q4:std_logic;beginprocess(min1,min2,sec1,sec2)beginif hour2=0000and hour10111thenq3=0;q4=0;elsif min2=0101and min1=1001and sec2=0101thenif sec1=0000or sec1=0010or sec1=0100 or sec1=0110or sec1=1000thenq3=1;elseq3=0;end if;end if;if hour2=0000and ho

20、ur10111thenq3=0;q4=0;elsif min2=0000and min1=0000and sec2=0000and sec1=0000thenq4=1;elseq4=0;end if;end process;q=(q3 and q0)or(q4 and q1);end one;程序生成波形和硬器件:2.3:总程序框图 第三章:硬件实现与总结3.1:硬件实现3.2:总结 在这次设计过程中,我在老师和老师的指导下逐步加深了了解,其间也曾经遇到很多问题,不论是程序设计方面的,还是调试方面的问题。最后,通过不断的尝试和老师、同学的指导,这些问题终于一一得到解决。 计数器老师已经在EDA

21、和数电课堂上讲解过了,所以设计起来并不困难。我已经知道了分/秒使用M60,小时使用M24。并且在设计中,我发现了一个小小的问题,在校时分时秒也在计数,在校时时分时分也在计数,因此,我在校时和脉冲输入后加一个与门,这样问题就得到解决,在校时时不在同时计数,实现起来十分容易。 致谢 大三第一个学期转眼间就已成为过去,在过去的一学期里,老师严谨的工作态度和和睦的为人处事态度给我留下了深刻印象。在学习EDA这门课程中,老师的帮助是离不开的,各式上课的方式也都很受同学的关注,老师文雅、亲切的谈吐风格更为同学喜爱。因此,在这里,我忠心的谢谢老师对我的耐心教育和悉心指导,尤其在部分实验上的悉心指导和帮助,同时也感谢同学们对我论文提出的意见和帮助。 最后祝愿老师工作顺心、健康快乐,祝愿同学们学业有成、百尺竿头更进一步。 参考文献:1. 江国强EDA技术与应用. 北京:电子工业出版社2. 阎石等数字电子技术M北京:高等教育出版社3. 徐晓玲,田亚菲,崔伟.基于EDA仿真的电子时钟系统设计A.兰州大学,2009. 专心-专注-专业

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知淘文阁网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号© 2020-2023 www.taowenge.com 淘文阁